OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [nog_patch_61/] [or1ksim/] [toplevel.c] - Diff between revs 221 and 234

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 221 Rev 234
Line 82... Line 82...
#else  /* no DEBUGMOD_OFF */
#else  /* no DEBUGMOD_OFF */
#define GlobalMode 0
#define GlobalMode 0
#endif /* no DEBUGMOD_OFF */
#endif /* no DEBUGMOD_OFF */
 
 
/* CVS revision number. */
/* CVS revision number. */
const char rcsrev[] = "$Revision: 1.26 $";
const char rcsrev[] = "$Revision: 1.27 $";
 
 
/* Continuos run versus single step tracing switch. */
/* Continuos run versus single step tracing switch. */
int cont_run;
int cont_run;
 
 
/* History of execution */
/* History of execution */
Line 312... Line 312...
          extern struct dev_memarea *dev_list;
          extern struct dev_memarea *dev_list;
          int i;
          int i;
          if(config.random_mem) {
          if(config.random_mem) {
                  unsigned int val = 0;
                  unsigned int val = 0;
                  int seed = time(NULL);
                  int seed = time(NULL);
 
                        int breakpoint = 0;
 
 
                  srandom(seed);
                  srandom(seed);
                  /* Print out the seed just in case we ever need to debug */
                  /* Print out the seed just in case we ever need to debug */
                  printf("Seeding random generator with value %d\n",seed);
                  printf("Seeding random generator with value %d\n",seed);
 
 
                  for (cur_area = dev_list; cur_area; cur_area = cur_area->next)
                  for (cur_area = dev_list; cur_area; cur_area = cur_area->next)
        for(i = 0; i < cur_area->size; i++) {
        for(i = 0; i < cur_area->size; i++) {
          val = random();
          val = random();
                      if(random() > RAND_MAX/2)
                      if(random() > RAND_MAX/2)
                        val |= 0x80000000;
                        val |= 0x80000000;
          cur_area->writefunc(i + cur_area->start, val);
          set_mem8(i + cur_area->start, val, &breakpoint);
        }
        }
    } else if(config.pattern_mem) {
    } else if(config.pattern_mem) {
 
                        int breakpoint = 0;
                  for (cur_area = dev_list; cur_area; cur_area = cur_area->next)
                  for (cur_area = dev_list; cur_area; cur_area = cur_area->next)
        for(i = 0; i < cur_area->size; i++)
        for(i = 0; i < cur_area->size; i++)
          cur_area->writefunc(i + cur_area->start, config.pattern_mem);
          set_mem8(i + cur_area->start, config.pattern_mem, &breakpoint);
    } else {
    } else {
 
                        int breakpoint = 0;
                  for (cur_area = dev_list; cur_area; cur_area = cur_area->next)
                  for (cur_area = dev_list; cur_area; cur_area = cur_area->next)
        for(i = 0; i < cur_area->size; i++)
        for(i = 0; i < cur_area->size; i++)
          cur_area->writefunc(i + cur_area->start, 0);
          set_mem8(i + cur_area->start, 0, &breakpoint);
    }
    }
 
 
    if(config.memory) {
    if(config.memory) {
                  MemoryBlock* block = config.memory;
                  MemoryBlock* block = config.memory;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.