OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [nog_patch_69/] [or1ksim/] [sim-config.c] - Diff between revs 732 and 734

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 732 Rev 734
Line 553... Line 553...
{8, "hide_device_id",     "=%i",         NULL,          (void *)(&config.vapi.hide_device_id), 0},
{8, "hide_device_id",     "=%i",         NULL,          (void *)(&config.vapi.hide_device_id), 0},
{8, "vapi_log_fn",        "=\"%s\"",     NULL,          (void *)(&config.vapi.vapi_fn[0]), 0},
{8, "vapi_log_fn",        "=\"%s\"",     NULL,          (void *)(&config.vapi.vapi_fn[0]), 0},
 
 
{9, "nethernets",         "=%i",         eth_nethernets,(void *)(&tempL), CPF_GLOBAL},
{9, "nethernets",         "=%i",         eth_nethernets,(void *)(&tempL), CPF_GLOBAL},
{9, "device",             "%i",          change_device, (void *)(&tempL), 0},
{9, "device",             "%i",          change_device, (void *)(&tempL), 0},
{9, "irq",                "%i",          eth_irq,       (void *)(&tempUL), 15},
{9, "irq",                "=%i",         eth_irq,       (void *)(&tempUL), 15},
{9, "enddevice",          "",            end_device,    NULL, 0},
{9, "enddevice",          "",            end_device,    NULL, 0},
{9, "baseaddr",           "=0x%x",       eth_baseaddr,  (void *)(&tempUL), 0},
{9, "baseaddr",           "=0x%x",       eth_baseaddr,  (void *)(&tempUL), 0},
{9, "dma",                "=%i",         eth_dma,       (void *)(&tempL), 0},
{9, "dma",                "=%i",         eth_dma,       (void *)(&tempL), 0},
{9, "rtx_type",           "=%i",         eth_rtx_type,  (void *)(&tempUL), 0},
{9, "rtx_type",           "=%i",         eth_rtx_type,  (void *)(&tempUL), 0},
{9, "rx_channel",         "=%i",         eth_rx_channel,(void *)(&tempL), 0},
{9, "rx_channel",         "=%i",         eth_rx_channel,(void *)(&tempL), 0},

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.