OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel-0-3-0-rc2/] [or1ksim/] [peripheral/] [16450.h] - Diff between revs 1502 and 1504

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 1502 Rev 1504
Line 32... Line 32...
 
 
/* Registers */
/* Registers */
 
 
struct dev_16450 {
struct dev_16450 {
  struct {
  struct {
    unsigned txbuf[UART_MAX_FIFO_LEN];
    uint8_t txbuf[UART_MAX_FIFO_LEN];
    unsigned rxbuf[UART_MAX_FIFO_LEN];
    uint16_t rxbuf[UART_MAX_FIFO_LEN]; /* Upper 8-bits is the LCR modifier */
    unsigned char dll;
    uint8_t dll;
    unsigned char dlh;
    uint8_t dlh;
    unsigned char ier;
    uint8_t ier;
    unsigned char iir;
    uint8_t iir;
    unsigned char fcr;
    uint8_t fcr;
    unsigned char lcr;
    uint8_t lcr;
    unsigned char mcr;
    uint8_t mcr;
    unsigned char lsr;
    uint8_t lsr;
    unsigned char msr;
    uint8_t msr;
    unsigned char scr;
    uint8_t scr;
  } regs;   /* Visible registers */
  } regs;   /* Visible registers */
  struct {
  struct {
    unsigned long txser;    /* Character just sending */
    uint8_t txser;    /* Character just sending */
    unsigned long rxser;    /* Character just receiving */
    uint16_t rxser;    /* Character just receiving */
    unsigned char loopback;
    uint8_t loopback;
  } iregs;  /* Internal registers */
  } iregs;  /* Internal registers */
  struct {
  struct {
    int txbuf_head;
    int txbuf_head;
    int txbuf_tail;
    int txbuf_tail;
    int rxbuf_head;
    int rxbuf_head;
Line 68... Line 68...
  unsigned long char_clks;
  unsigned long char_clks;
 
 
  /* VAPI internal registers */
  /* VAPI internal registers */
  struct {
  struct {
    unsigned long char_clks;
    unsigned long char_clks;
    int dll, dlh;
    uint8_t dll, dlh;
    int lcr;
    uint8_t lcr;
    int skew;
    int skew;
  } vapi;
  } vapi;
 
 
  /* Required by VAPI - circular buffer */
  /* Required by VAPI - circular buffer */
 unsigned long vapi_buf[UART_VAPI_BUF_LEN];  /* Buffer to store incoming characters to,
 unsigned long vapi_buf[UART_VAPI_BUF_LEN];  /* Buffer to store incoming characters to,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.