OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel-0-3-0-rc3/] [or1ksim/] [support/] [dumpverilog.h] - Diff between revs 1619 and 1748

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 1619 Rev 1748
Line 1... Line 1...
#define DW 32   /* Data width of memory model generated by dumpverilog in bits */
/* dumpverilog.h -- Dumps memory region as Verilog representation header
#define DWQ (DW/8) /* Same as DW but units are bytes */
   or as hex code
#define DISWIDTH 25 /* Width of disassembled message in bytes */
 
 
 
#define OR1K_MEM_VERILOG_HEADER(MODNAME, FROMADDR, TOADDR, DISWIDTH) "\n"\
 
"include \"general.h\"\n\n"\
 
"`timescale 1ns/100ps\n\n"\
 
"// Simple dw-wide Sync SRAM with initial content generated by or1ksim.\n"\
 
"// All control, data in and addr signals are sampled at rising clock edge  \n"\
 
"// Data out is not registered. Address bits specify dw-word (narrowest \n"\
 
"// addressed data is not byte but dw-word !). \n"\
 
"// There are still some bugs in generated output (dump word aligned regions)\n\n"\
 
"module %s(clk, data, addr, ce, we, disout);\n\n"\
 
"parameter dw = 32;\n"\
 
"parameter amin = %d;\n\n"\
 
"parameter amax = %d;\n\n"\
 
"input clk;\n"\
 
"inout [dw-1:0] data;\n"\
 
"input [31:0] addr;\n"\
 
"input ce;\n"\
 
"input we;\n"\
 
"output [%d:0] disout;\n\n"\
 
"reg  [%d:0] disout;\n"\
 
"reg  [dw-1:0] mem [amax:amin];\n"\
 
"reg  [%d:0] dis [amax:amin];\n"\
 
"reg  [dw-1:0] dataout;\n"\
 
"tri  [dw-1:0] data = (ce && ~we) ? dataout : 'bz;\n\n"\
 
"initial begin\n", MODNAME, FROMADDR, TOADDR, DISWIDTH-1, DISWIDTH-1, DISWIDTH-1
 
 
 
#define OR1K_MEM_VERILOG_FOOTER "\n\
 
end\n\n\
 
always @(posedge clk) begin\n\
 
        if (ce && ~we) begin\n\
 
                dataout <= #1 mem[addr];\n\
 
                disout <= #1 dis[addr];\n\
 
                $display(\"or1k_mem: reading mem[%%0d]:%%h dis: %%0s\", addr, dataout, dis[addr]);\n\
 
        end else\n\
 
        if (ce && we) begin\n\
 
                mem[addr] <= #1 data;\n\
 
                dis[addr] <= #1 \"(data)\";\n\
 
                $display(\"or1k_mem: writing mem[%%0d]:%%h dis: %%0s\", addr, mem[addr], dis[addr]);\n\
 
        end\n\
 
end\n\n\
 
endmodule\n"
 
 
 
void dumpverilog(char *verilog_modname, oraddr_t from, oraddr_t to);
   Copyright (C) 2000 Damjan Lampret, lampret@opencores.org
void dumphex(oraddr_t from, oraddr_t to);
   Copyright (C) 2008 Embecosm Limited
 
 
 
   Contributor Jeremy Bennett <jeremy.bennett@embecosm.com>
 
 
 
   This file is part of Or1ksim, the OpenRISC 1000 Architectural Simulator.
 
 
 
   This program is free software; you can redistribute it and/or modify it
 
   under the terms of the GNU General Public License as published by the Free
 
   Software Foundation; either version 3 of the License, or (at your option)
 
   any later version.
 
 
 
   This program is distributed in the hope that it will be useful, but WITHOUT
 
   ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
 
   FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License for
 
   more details.
 
 
 
   You should have received a copy of the GNU General Public License along
 
   with this program.  If not, see <http://www.gnu.org/licenses/>.  */
 
 
 
/* This program is commented throughout in a fashion suitable for processing
 
   with Doxygen. */
 
 
 
/* Verilog dump can be used for stimulating OpenRISC Verilog RTL models. */
 
 
 
 
 
#ifndef DUMPVERILOG__H
 
#define DUMPVERILOG__H
 
 
 
 
 
/* Package includes */
 
#include "arch.h"
 
 
 
 
 
/* Function prototypes for external use */
 
extern void dumpverilog (char *verilog_modname, oraddr_t from, oraddr_t to);
 
extern void dumphex (oraddr_t from, oraddr_t to);
 
 
 
#endif  /* DUMPVERILOG__H */
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.