OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_1/] [or1200/] [rtl/] [verilog/] [or1200_cpu.v] - Diff between revs 788 and 791

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 788 Rev 791
Line 43... Line 43...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.8  2002/03/29 15:16:54  lampret
 
// Some of the warnings fixed.
 
//
// Revision 1.7  2002/02/11 04:33:17  lampret
// Revision 1.7  2002/02/11 04:33:17  lampret
// Speed optimizations (removed duplicate _cyc_ and _stb_). Fixed D/IMMU cache-inhibit attr.
// Speed optimizations (removed duplicate _cyc_ and _stb_). Fixed D/IMMU cache-inhibit attr.
//
//
// Revision 1.6  2002/02/01 19:56:54  lampret
// Revision 1.6  2002/02/01 19:56:54  lampret
// Fixed combinational loops.
// Fixed combinational loops.
Line 394... Line 397...
or1200_if or1200_if(
or1200_if or1200_if(
        .clk(clk),
        .clk(clk),
        .rst(rst),
        .rst(rst),
        .icpu_dat_i(icpu_dat_i),
        .icpu_dat_i(icpu_dat_i),
        .icpu_ack_i(icpu_ack_i),
        .icpu_ack_i(icpu_ack_i),
        .icpu_rty_i(icpu_rty_i),
 
        .icpu_err_i(icpu_err_i),
        .icpu_err_i(icpu_err_i),
        .icpu_adr_i(icpu_adr_i),
        .icpu_adr_i(icpu_adr_i),
        .icpu_tag_i(icpu_tag_i),
        .icpu_tag_i(icpu_tag_i),
 
 
        .if_freeze(if_freeze),
        .if_freeze(if_freeze),
        .if_insn(if_insn),
        .if_insn(if_insn),
        .if_pc(if_pc),
        .if_pc(if_pc),
        .flushpipe(flushpipe),
        .flushpipe(flushpipe),
        .if_stall(if_stall),
        .if_stall(if_stall),
        .no_more_dslot(no_more_dslot),
        .no_more_dslot(no_more_dslot),
        .taken(branch_taken),
 
        .genpc_refetch(genpc_refetch),
        .genpc_refetch(genpc_refetch),
        .rfe(rfe),
        .rfe(rfe),
        .except_itlbmiss(except_itlbmiss),
        .except_itlbmiss(except_itlbmiss),
        .except_immufault(except_immufault),
        .except_immufault(except_immufault),
        .except_ibuserr(except_ibuserr)
        .except_ibuserr(except_ibuserr)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.