OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_20/] [or1200/] [rtl/] [verilog/] [or1200_ic_fsm.v] - Diff between revs 636 and 660

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 636 Rev 660
Line 42... Line 42...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.4  2002/02/01 19:56:54  lampret
 
// Fixed combinational loops.
 
//
// Revision 1.3  2002/01/28 01:16:00  lampret
// Revision 1.3  2002/01/28 01:16:00  lampret
// Changed 'void' nop-ops instead of insn[0] to use insn[16]. Debug unit stalls the tick timer. Prepared new flag generation for add and and insns. Blocked DC/IC while they are turned off. Fixed I/D MMU SPRs layout except WAYs. TODO: smart IC invalidate, l.j 2 and TLB ways.
// Changed 'void' nop-ops instead of insn[0] to use insn[16]. Debug unit stalls the tick timer. Prepared new flag generation for add and and insns. Blocked DC/IC while they are turned off. Fixed I/D MMU SPRs layout except WAYs. TODO: smart IC invalidate, l.j 2 and TLB ways.
//
//
// Revision 1.2  2002/01/14 06:18:22  lampret
// Revision 1.2  2002/01/14 06:18:22  lampret
// Fixed mem2reg bug in FAST implementation. Updated debug unit to work with new genpc/if.
// Fixed mem2reg bug in FAST implementation. Updated debug unit to work with new genpc/if.
Line 90... Line 93...
module or1200_ic_fsm(
module or1200_ic_fsm(
        // Clock and reset
        // Clock and reset
        clk, rst,
        clk, rst,
 
 
        // Internal i/f to top level IC
        // Internal i/f to top level IC
        ic_en, icimmu_cyc_i, icimmu_stb_i, icimmu_ci_i, icpu_sel_i,
        ic_en, icimmu_cycstb_i, icimmu_ci_i, icpu_sel_i,
        tagcomp_miss, biudata_valid, biudata_error, start_addr, saved_addr,
        tagcomp_miss, biudata_valid, biudata_error, start_addr, saved_addr,
        icram_we, biu_read, first_hit_ack, first_miss_ack, first_miss_err,
        icram_we, biu_read, first_hit_ack, first_miss_ack, first_miss_err,
        burst
        burst, tag_we
);
);
 
 
//
//
// I/O
// I/O
//
//
input                           clk;
input                           clk;
input                           rst;
input                           rst;
input                           ic_en;
input                           ic_en;
input                           icimmu_cyc_i;
input                           icimmu_cycstb_i;
input                           icimmu_stb_i;
 
input                           icimmu_ci_i;
input                           icimmu_ci_i;
input   [3:0]                    icpu_sel_i;
input   [3:0]                    icpu_sel_i;
input                           tagcomp_miss;
input                           tagcomp_miss;
input                           biudata_valid;
input                           biudata_valid;
input                           biudata_error;
input                           biudata_error;
Line 117... Line 119...
output                          biu_read;
output                          biu_read;
output                          first_hit_ack;
output                          first_hit_ack;
output                          first_miss_ack;
output                          first_miss_ack;
output                          first_miss_err;
output                          first_miss_err;
output                          burst;
output                          burst;
 
output                          tag_we;
 
 
//
//
// Internal wires and regs
// Internal wires and regs
//
//
reg     [31:0]                   saved_addr;
reg     [31:0]                   saved_addr_r;
reg     [2:0]                    state;
reg     [2:0]                    state;
reg     [2:0]                    cnt;
reg     [2:0]                    cnt;
reg                             hitmiss_eval;
reg                             hitmiss_eval;
reg                             load;
reg                             load;
 
reg                             cache_inhibit;
 
 
//
//
// Generate of ICRAM write enables
// Generate of ICRAM write enables
//
//
assign icram_we = {4{load & biudata_valid & (state != `OR1200_ICFSM_IFETCH)}};
assign icram_we = {4{load & biudata_valid & !cache_inhibit}};
 
assign tag_we = biu_read & biudata_valid & !cache_inhibit;
 
 
//
//
// BIU read and write
// BIU read and write
//
//
assign biu_read = (hitmiss_eval & tagcomp_miss) | (!hitmiss_eval & load);
assign biu_read = (hitmiss_eval & tagcomp_miss) | (!hitmiss_eval & load);
 
 
 
//assign saved_addr = hitmiss_eval ? start_addr : saved_addr_r;
 
assign saved_addr = saved_addr_r;
 
 
//
//
// Assert for cache hit first word ready
// Assert for cache hit first word ready
// Assert for cache miss first word stored/loaded OK
// Assert for cache miss first word stored/loaded OK
// Assert for cache miss first word stored/loaded with an error
// Assert for cache miss first word stored/loaded with an error
//
//
assign first_hit_ack = (state == `OR1200_ICFSM_CFETCH) & hitmiss_eval & !tagcomp_miss;
assign first_hit_ack = (state == `OR1200_ICFSM_CFETCH) & hitmiss_eval & !tagcomp_miss & !cache_inhibit & !icimmu_ci_i;
assign first_miss_ack = ((state == `OR1200_ICFSM_CFETCH) | (state == `OR1200_ICFSM_IFETCH)) & biudata_valid;
assign first_miss_ack = (state == `OR1200_ICFSM_CFETCH) & biudata_valid;
assign first_miss_err = ((state == `OR1200_ICFSM_CFETCH) | (state == `OR1200_ICFSM_IFETCH)) & biudata_error;
assign first_miss_err = (state == `OR1200_ICFSM_CFETCH) & biudata_error;
 
 
//
//
// Assert burst when doing reload of complete cache line
// Assert burst when doing reload of complete cache line
//
//
assign burst = (state == `OR1200_ICFSM_CFETCH) & tagcomp_miss
assign burst = (state == `OR1200_ICFSM_CFETCH) & tagcomp_miss & !cache_inhibit
                | (state == `OR1200_ICFSM_LREFILL3);
                | (state == `OR1200_ICFSM_LREFILL3);
 
 
//
//
// Main IC FSM
// Main IC FSM
//
//
always @(posedge clk or posedge rst) begin
always @(posedge clk or posedge rst) begin
        if (rst) begin
        if (rst) begin
                state <= #1 `OR1200_ICFSM_IDLE;
                state <= #1 `OR1200_ICFSM_IDLE;
                saved_addr <= #1 32'b0;
                saved_addr_r <= #1 32'b0;
                hitmiss_eval <= #1 1'b0;
                hitmiss_eval <= #1 1'b0;
                load <= #1 1'b0;
                load <= #1 1'b0;
                cnt <= #1 3'b000;
                cnt <= #1 3'b000;
 
                cache_inhibit <= #1 1'b0;
        end
        end
        else
        else
        case (state)    // synopsys parallel_case
        case (state)    // synopsys parallel_case
                `OR1200_ICFSM_IDLE :
                `OR1200_ICFSM_IDLE :
                        if (ic_en & icimmu_cyc_i & icimmu_stb_i & icimmu_ci_i) begin    // fetch from cache-inhibited area
                        if (ic_en & icimmu_cycstb_i) begin              // fetch
                                state <= #1 `OR1200_ICFSM_IFETCH;
 
                                saved_addr <= #1 start_addr;
 
                                hitmiss_eval <= #1 1'b0;
 
                                load <= #1 1'b1;
 
                        end
 
                        else if (ic_en & icimmu_cyc_i & icimmu_stb_i) begin             // fetch from cached area
 
                                state <= #1 `OR1200_ICFSM_CFETCH;
                                state <= #1 `OR1200_ICFSM_CFETCH;
                                saved_addr <= #1 start_addr;
                                saved_addr_r <= #1 start_addr;
                                hitmiss_eval <= #1 1'b1;
                                hitmiss_eval <= #1 1'b1;
                                load <= #1 1'b1;
                                load <= #1 1'b1;
 
                                cache_inhibit <= #1 1'b0;
                        end
                        end
                        else begin                                                      // idle
                        else begin                                                      // idle
                                state <= #1 `OR1200_ICFSM_IDLE;
                                state <= #1 `OR1200_ICFSM_IDLE;
                                hitmiss_eval <= #1 1'b0;
                                hitmiss_eval <= #1 1'b0;
                                load <= #1 1'b0;
                                load <= #1 1'b0;
 
                                cache_inhibit <= #1 1'b0;
                        end
                        end
                `OR1200_ICFSM_CFETCH:   // fetch from cached area
                `OR1200_ICFSM_CFETCH: begin     // fetch
 
                        if (icimmu_cycstb_i & icimmu_ci_i)
 
                                cache_inhibit <= #1 1'b1;
 
                        if (hitmiss_eval)
 
                                saved_addr_r[31:13] <= #1 start_addr[31:13];
                        if (!ic_en)
                        if (!ic_en)
                                state <= #1 `OR1200_ICFSM_IDLE;
                                state <= #1 `OR1200_ICFSM_IDLE;
                        else if (hitmiss_eval & !(icimmu_cyc_i & icimmu_stb_i)) begin   // fetch aborted (usually caused by IMMU)
                        else if (hitmiss_eval & !icimmu_cycstb_i) begin // fetch aborted (usually caused by IMMU)
                                state <= #1 `OR1200_ICFSM_IDLE;
                                state <= #1 `OR1200_ICFSM_IDLE;
                                hitmiss_eval <= #1 1'b0;
                                hitmiss_eval <= #1 1'b0;
                                load <= #1 1'b0;
                                load <= #1 1'b0;
 
                                cache_inhibit <= #1 1'b0;
                        end
                        end
                        else if (biudata_error) begin                   // fetch terminated with an error
                        else if (biudata_error) begin                   // fetch terminated with an error
                                state <= #1 `OR1200_ICFSM_IDLE;
                                state <= #1 `OR1200_ICFSM_IDLE;
                                hitmiss_eval <= #1 1'b0;
                                hitmiss_eval <= #1 1'b0;
                                load <= #1 1'b0;
                                load <= #1 1'b0;
 
                                cache_inhibit <= #1 1'b0;
 
                        end
 
                        else if (cache_inhibit & biudata_valid) begin   // fetch from cache-inhibited page
 
                                state <= #1 `OR1200_ICFSM_IDLE;
 
                                hitmiss_eval <= #1 1'b0;
 
                                load <= #1 1'b0;
 
                                cache_inhibit <= #1 1'b0;
                        end
                        end
                        else if (tagcomp_miss & biudata_valid) begin    // fetch missed, finish current external fetch and refill
                        else if (tagcomp_miss & biudata_valid) begin    // fetch missed, finish current external fetch and refill
                                state <= #1 `OR1200_ICFSM_LREFILL3;
                                state <= #1 `OR1200_ICFSM_LREFILL3;
                                saved_addr[3:2] <= #1 saved_addr[3:2] + 'd1;
                                saved_addr_r[3:2] <= #1 saved_addr_r[3:2] + 'd1;
                                hitmiss_eval <= #1 1'b0;
                                hitmiss_eval <= #1 1'b0;
                                cnt <= #1 `OR1200_ICLS-2;
                                cnt <= #1 `OR1200_ICLS-2;
 
                                cache_inhibit <= #1 1'b0;
                        end
                        end
                        else if (!tagcomp_miss) begin                   // fetch hit, finish immediately
                        else if (!tagcomp_miss & !icimmu_ci_i) begin    // fetch hit, finish immediately
                                state <= #1 `OR1200_ICFSM_CFETCH;
                                state <= #1 `OR1200_ICFSM_CFETCH;
                                saved_addr <= #1 start_addr;
                                saved_addr_r <= #1 start_addr;
                                hitmiss_eval <= #1 1'b1;
                                hitmiss_eval <= #1 1'b1;
                                load <= #1 1'b1;
                                load <= #1 1'b1;
 
                                cache_inhibit <= #1 1'b0;
                        end
                        end
                        else if (!icimmu_cyc_i | !icimmu_stb_i) begin   // fetch aborted (usually caused by exception)
                        else if (!icimmu_cycstb_i) begin        // fetch aborted (usually caused by exception)
                                state <= #1 `OR1200_ICFSM_IDLE;
                                state <= #1 `OR1200_ICFSM_IDLE;
                                hitmiss_eval <= #1 1'b0;
                                hitmiss_eval <= #1 1'b0;
                                load <= #1 1'b0;
                                load <= #1 1'b0;
 
                                cache_inhibit <= #1 1'b0;
                        end
                        end
                        else                                            // fetch in-progress
                        else                                            // fetch in-progress
                                hitmiss_eval <= #1 1'b0;
                                hitmiss_eval <= #1 1'b0;
 
                end
                `OR1200_ICFSM_LREFILL3 : begin
                `OR1200_ICFSM_LREFILL3 : begin
                        if (!ic_en)
                        if (!ic_en)
                                state <= #1 `OR1200_ICFSM_IDLE;
                                state <= #1 `OR1200_ICFSM_IDLE;
                        else if (biudata_valid && (|cnt)) begin         // refill ack, more fetchs to come
                        else if (biudata_valid && (|cnt)) begin         // refill ack, more fetchs to come
                                cnt <= #1 cnt - 'd1;
                                cnt <= #1 cnt - 'd1;
                                saved_addr[3:2] <= #1 saved_addr[3:2] + 'd1;
                                saved_addr_r[3:2] <= #1 saved_addr_r[3:2] + 'd1;
                        end
                        end
                        else if (biudata_valid) begin                   // last fetch of line refill
                        else if (biudata_valid) begin                   // last fetch of line refill
                                state <= #1 `OR1200_ICFSM_IDLE;
                                state <= #1 `OR1200_ICFSM_IDLE;
                                saved_addr <= #1 start_addr;
                                saved_addr_r <= #1 start_addr;
                                hitmiss_eval <= #1 1'b0;
                                hitmiss_eval <= #1 1'b0;
                                load <= #1 1'b0;
                                load <= #1 1'b0;
                        end
                        end
                end
                end
                `OR1200_ICFSM_IFETCH:   // fetch from cache-inhibited area
 
                        if (!ic_en)
 
                                state <= #1 `OR1200_ICFSM_IDLE;
 
                        else if (!(icimmu_cyc_i & icimmu_stb_i)) begin  // fetch aborted (usually caused by IMMU)
 
                                state <= #1 `OR1200_ICFSM_IDLE;
 
                                hitmiss_eval <= #1 1'b0;
 
                                load <= #1 1'b0;
 
                        end
 
                        else if (biudata_error) begin                   // fetch terminated with an error
 
                                state <= #1 `OR1200_ICFSM_IDLE;
 
                                hitmiss_eval <= #1 1'b0;
 
                                load <= #1 1'b0;
 
                        end
 
                        else if (biudata_valid) begin                   // fetch from cache inhibit page
 
                                state <= #1 `OR1200_ICFSM_IDLE;
 
                                hitmiss_eval <= #1 1'b0;
 
                                load <= #1 1'b0;
 
                        end
 
                        else                                            // fetch in-progress
 
                                hitmiss_eval <= #1 1'b0;
 
                default:
                default:
                        state <= #1 `OR1200_ICFSM_IDLE;
                        state <= #1 `OR1200_ICFSM_IDLE;
        endcase
        endcase
end
end
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.