OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_21/] [or1200/] [rtl/] [verilog/] [or1200_cpu.v] - Diff between revs 791 and 895

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 791 Rev 895
Line 43... Line 43...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.9  2002/03/29 16:29:37  lampret
 
// Fixed some ports in instnatiations that were removed from the modules
 
//
// Revision 1.8  2002/03/29 15:16:54  lampret
// Revision 1.8  2002/03/29 15:16:54  lampret
// Some of the warnings fixed.
// Some of the warnings fixed.
//
//
// Revision 1.7  2002/02/11 04:33:17  lampret
// Revision 1.7  2002/02/11 04:33:17  lampret
// Speed optimizations (removed duplicate _cyc_ and _stb_). Fixed D/IMMU cache-inhibit attr.
// Speed optimizations (removed duplicate _cyc_ and _stb_). Fixed D/IMMU cache-inhibit attr.
Line 134... Line 137...
        icpu_dat_i, icpu_ack_i, icpu_rty_i, icpu_err_i, icpu_adr_i, icpu_tag_i,
        icpu_dat_i, icpu_ack_i, icpu_rty_i, icpu_err_i, icpu_adr_i, icpu_tag_i,
        immu_en,
        immu_en,
 
 
        // Debug unit
        // Debug unit
        ex_insn, ex_freeze, branch_op,
        ex_insn, ex_freeze, branch_op,
 
        spr_dat_npc, rf_dataw,
        du_stall, du_addr, du_dat_du, du_read, du_write, du_dsr, du_except, du_dat_cpu,
        du_stall, du_addr, du_dat_du, du_read, du_write, du_dsr, du_except, du_dat_cpu,
 
 
        // Data interface
        // Data interface
        dc_en,
        dc_en,
        dcpu_adr_o, dcpu_cycstb_o, dcpu_we_o, dcpu_sel_o, dcpu_tag_o, dcpu_dat_o,
        dcpu_adr_o, dcpu_cycstb_o, dcpu_we_o, dcpu_sel_o, dcpu_tag_o, dcpu_dat_o,
Line 189... Line 193...
// Debug interface
// Debug interface
//
//
output  [31:0]                   ex_insn;
output  [31:0]                   ex_insn;
output                          ex_freeze;
output                          ex_freeze;
output  [`OR1200_BRANCHOP_WIDTH-1:0]     branch_op;
output  [`OR1200_BRANCHOP_WIDTH-1:0]     branch_op;
 
 
input                           du_stall;
input                           du_stall;
input   [dw-1:0]         du_addr;
input   [dw-1:0]         du_addr;
input   [dw-1:0]         du_dat_du;
input   [dw-1:0]         du_dat_du;
input                           du_read;
input                           du_read;
input                           du_write;
input                           du_write;
input   [`OR1200_DU_DSR_WIDTH-1:0]       du_dsr;
input   [`OR1200_DU_DSR_WIDTH-1:0]       du_dsr;
output  [12:0]                   du_except;
output  [12:0]                   du_except;
output  [dw-1:0]         du_dat_cpu;
output  [dw-1:0]         du_dat_cpu;
 
output  [dw-1:0]         rf_dataw;
 
 
//
//
// Data (DC) interface
// Data (DC) interface
//
//
output  [31:0]                   dcpu_adr_o;
output  [31:0]                   dcpu_adr_o;
Line 231... Line 237...
input   [dw-1:0]         spr_dat_dmmu;
input   [dw-1:0]         spr_dat_dmmu;
input   [dw-1:0]         spr_dat_immu;
input   [dw-1:0]         spr_dat_immu;
input   [dw-1:0]         spr_dat_du;
input   [dw-1:0]         spr_dat_du;
output  [dw-1:0]         spr_addr;
output  [dw-1:0]         spr_addr;
output  [dw-1:0]         spr_dat_cpu;
output  [dw-1:0]         spr_dat_cpu;
 
output  [dw-1:0]         spr_dat_npc;
output  [31:0]                   spr_cs;
output  [31:0]                   spr_cs;
output                          spr_we;
output                          spr_we;
 
 
//
//
// Interrupt exceptions
// Interrupt exceptions
Line 660... Line 667...
        .mac_stall(mac_stall),
        .mac_stall(mac_stall),
        .genpc_freeze(genpc_freeze),
        .genpc_freeze(genpc_freeze),
        .if_freeze(if_freeze),
        .if_freeze(if_freeze),
        .id_freeze(id_freeze),
        .id_freeze(id_freeze),
        .ex_freeze(ex_freeze),
        .ex_freeze(ex_freeze),
        .wb_freeze(wb_freeze)
        .wb_freeze(wb_freeze),
 
        .icpu_ack_i(icpu_ack_i),
 
        .icpu_err_i(icpu_err_i)
);
);
 
 
//
//
// Instantiation of exception block
// Instantiation of exception block
//
//
Line 683... Line 692...
        .sig_trap(sig_trap),
        .sig_trap(sig_trap),
        .sig_itlbmiss(except_itlbmiss),
        .sig_itlbmiss(except_itlbmiss),
        .sig_immufault(except_immufault),
        .sig_immufault(except_immufault),
        .sig_tick(sig_tick),
        .sig_tick(sig_tick),
        .branch_taken(branch_taken),
        .branch_taken(branch_taken),
 
        .icpu_ack_i(icpu_ack_i),
 
        .icpu_err_i(icpu_err_i),
 
        .dcpu_ack_i(dcpu_ack_i),
 
        .dcpu_err_i(dcpu_err_i),
 
        .genpc_freeze(genpc_freeze),
        .id_freeze(id_freeze),
        .id_freeze(id_freeze),
        .ex_freeze(ex_freeze),
        .ex_freeze(ex_freeze),
        .wb_freeze(wb_freeze),
        .wb_freeze(wb_freeze),
        .if_stall(if_stall),
        .if_stall(if_stall),
        .if_pc(if_pc),
        .if_pc(if_pc),

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.