OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_21/] [or1200/] [rtl/] [verilog/] [or1200_immu_top.v] - Diff between revs 1053 and 1063

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 1053 Rev 1063
Line 42... Line 42...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.10  2002/09/16 03:08:56  lampret
 
// Disabled cache inhibit atttribute.
 
//
// Revision 1.9  2002/08/18 19:54:17  lampret
// Revision 1.9  2002/08/18 19:54:17  lampret
// Added store buffer.
// Added store buffer.
//
//
// Revision 1.8  2002/08/14 06:23:50  lampret
// Revision 1.8  2002/08/14 06:23:50  lampret
// Disabled ITLB translation when 1) doing access to ITLB SPRs or 2) crossing page. This modification was tested only with parts of IMMU test - remaining test cases needs to be run.
// Disabled ITLB translation when 1) doing access to ITLB SPRs or 2) crossing page. This modification was tested only with parts of IMMU test - remaining test cases needs to be run.
Line 109... Line 112...
        icpu_adr_o, icpu_tag_o, icpu_rty_o, icpu_err_o,
        icpu_adr_o, icpu_tag_o, icpu_rty_o, icpu_err_o,
 
 
        // SPR access
        // SPR access
        spr_cs, spr_write, spr_addr, spr_dat_i, spr_dat_o,
        spr_cs, spr_write, spr_addr, spr_dat_i, spr_dat_o,
 
 
 
`ifdef OR1200_BIST
 
        // RAM BIST
 
        scanb_rst, scanb_si, scanb_so, scanb_en, scanb_clk,
 
`endif
 
 
        // IC i/f
        // IC i/f
        icimmu_rty_i, icimmu_err_i, icimmu_tag_i, icimmu_adr_o, icimmu_cycstb_o, icimmu_ci_o
        icimmu_rty_i, icimmu_err_i, icimmu_tag_i, icimmu_adr_o, icimmu_cycstb_o, icimmu_ci_o
);
);
 
 
parameter dw = `OR1200_OPERAND_WIDTH;
parameter dw = `OR1200_OPERAND_WIDTH;
Line 148... Line 156...
input                           spr_write;
input                           spr_write;
input   [aw-1:0]         spr_addr;
input   [aw-1:0]         spr_addr;
input   [31:0]                   spr_dat_i;
input   [31:0]                   spr_dat_i;
output  [31:0]                   spr_dat_o;
output  [31:0]                   spr_dat_o;
 
 
 
`ifdef OR1200_BIST
 
//
 
// RAM BIST
 
//
 
input                   scanb_rst,
 
                        scanb_si,
 
                        scanb_en,
 
                        scanb_clk;
 
output                  scanb_so;
 
`endif
 
 
//
//
// IC I/F
// IC I/F
//
//
input                           icimmu_rty_i;
input                           icimmu_rty_i;
input                           icimmu_err_i;
input                           icimmu_err_i;
Line 219... Line 238...
assign icpu_tag_o = icimmu_tag_i;
assign icpu_tag_o = icimmu_tag_i;
assign icimmu_cycstb_o = icpu_cycstb_i;
assign icimmu_cycstb_o = icpu_cycstb_i;
assign icpu_rty_o = icimmu_rty_i;
assign icpu_rty_o = icimmu_rty_i;
assign icpu_err_o = icimmu_err_i;
assign icpu_err_o = icimmu_err_i;
assign icimmu_ci_o = `OR1200_IMMU_CI;
assign icimmu_ci_o = `OR1200_IMMU_CI;
 
`ifdef OR1200_BIST
 
assign scanb_so = scanb_si;
 
`endif
`else
`else
 
 
//
//
// ITLB SPR access
// ITLB SPR access
//
//
Line 365... Line 386...
        .ppn(itlb_ppn),
        .ppn(itlb_ppn),
        .uxe(itlb_uxe),
        .uxe(itlb_uxe),
        .sxe(itlb_sxe),
        .sxe(itlb_sxe),
        .ci(itlb_ci),
        .ci(itlb_ci),
 
 
 
`ifdef OR1200_BIST
 
        // RAM BIST
 
        .scanb_rst(scanb_rst),
 
        .scanb_si(scanb_si),
 
        .scanb_so(scanb_so),
 
        .scanb_en(scanb_en),
 
        .scanb_clk(scanb_clk),
 
`endif
 
 
        // SPR access
        // SPR access
        .spr_cs(itlb_spr_access),
        .spr_cs(itlb_spr_access),
        .spr_write(spr_write),
        .spr_write(spr_write),
        .spr_addr(spr_addr),
        .spr_addr(spr_addr),
        .spr_dat_i(spr_dat_i),
        .spr_dat_i(spr_dat_i),

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.