OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_21/] [or1200/] [rtl/] [verilog/] [or1200_top.v] - Diff between revs 895 and 977

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 895 Rev 977
Line 42... Line 42...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.7  2002/07/14 22:17:17  lampret
 
// Added simple trace buffer [only for Xilinx Virtex target]. Fixed instruction fetch abort when new exception is recognized.
 
//
// Revision 1.6  2002/03/29 15:16:56  lampret
// Revision 1.6  2002/03/29 15:16:56  lampret
// Some of the warnings fixed.
// Some of the warnings fixed.
//
//
// Revision 1.5  2002/02/11 04:33:17  lampret
// Revision 1.5  2002/02/11 04:33:17  lampret
// Speed optimizations (removed duplicate _cyc_ and _stb_). Fixed D/IMMU cache-inhibit attr.
// Speed optimizations (removed duplicate _cyc_ and _stb_). Fixed D/IMMU cache-inhibit attr.
Line 204... Line 207...
//
//
// Internal wires and regs
// Internal wires and regs
//
//
 
 
//
//
// DC to BIU
// DC to SB
//
//
wire    [dw-1:0] dcbiu_dat_dc;
wire    [dw-1:0] dcsb_dat_dc;
wire    [aw-1:0] dcbiu_adr_dc;
wire    [aw-1:0] dcsb_adr_dc;
wire                    dcbiu_cyc_dc;
wire                    dcsb_cyc_dc;
wire                    dcbiu_stb_dc;
wire                    dcsb_stb_dc;
wire                    dcbiu_we_dc;
wire                    dcsb_we_dc;
wire    [3:0]            dcbiu_sel_dc;
wire    [3:0]            dcsb_sel_dc;
wire    [3:0]            dcbiu_tag_dc;
wire                    dcsb_cab_dc;
wire    [dw-1:0] dcbiu_dat_biu;
wire    [dw-1:0] dcsb_dat_sb;
wire                    dcbiu_ack_biu;
wire                    dcsb_ack_sb;
wire                    dcbiu_err_biu;
wire                    dcsb_err_sb;
wire    [3:0]            dcbiu_tag_biu;
 
 
//
 
// SB to BIU
 
//
 
wire    [dw-1:0] sbbiu_dat_sb;
 
wire    [aw-1:0] sbbiu_adr_sb;
 
wire                    sbbiu_cyc_sb;
 
wire                    sbbiu_stb_sb;
 
wire                    sbbiu_we_sb;
 
wire    [3:0]            sbbiu_sel_sb;
 
wire                    sbbiu_cab_sb;
 
wire    [dw-1:0] sbbiu_dat_biu;
 
wire                    sbbiu_ack_biu;
 
wire                    sbbiu_err_biu;
 
 
//
//
// IC to BIU
// IC to BIU
//
//
wire    [dw-1:0] icbiu_dat_ic;
wire    [dw-1:0] icbiu_dat_ic;
Line 402... Line 418...
        .wb_sel_o(dwb_sel_o),
        .wb_sel_o(dwb_sel_o),
        .wb_cab_o(dwb_cab_o),
        .wb_cab_o(dwb_cab_o),
        .wb_dat_o(dwb_dat_o),
        .wb_dat_o(dwb_dat_o),
 
 
        // Internal RISC bus
        // Internal RISC bus
        .biu_dat_i(dcbiu_dat_dc),
        .biu_dat_i(sbbiu_dat_sb),
        .biu_adr_i(dcbiu_adr_dc),
        .biu_adr_i(sbbiu_adr_sb),
        .biu_cyc_i(dcbiu_cyc_dc),
        .biu_cyc_i(sbbiu_cyc_sb),
        .biu_stb_i(dcbiu_stb_dc),
        .biu_stb_i(sbbiu_stb_sb),
        .biu_we_i(dcbiu_we_dc),
        .biu_we_i(sbbiu_we_sb),
        .biu_sel_i(dcbiu_sel_dc),
        .biu_sel_i(sbbiu_sel_sb),
        .biu_cab_i(dcbiu_cab_dc),
        .biu_cab_i(sbbiu_cab_sb),
        .biu_dat_o(dcbiu_dat_biu),
        .biu_dat_o(sbbiu_dat_biu),
        .biu_ack_o(dcbiu_ack_biu),
        .biu_ack_o(sbbiu_ack_biu),
        .biu_err_o(dcbiu_err_biu)
        .biu_err_o(sbbiu_err_biu)
);
);
 
 
//
//
// Instantiation of IMMU
// Instantiation of IMMU
//
//
Line 622... Line 638...
        .spr_cs(spr_cs[`OR1200_SPR_GROUP_DC]),
        .spr_cs(spr_cs[`OR1200_SPR_GROUP_DC]),
        .spr_write(spr_we),
        .spr_write(spr_we),
        .spr_dat_i(spr_dat_cpu),
        .spr_dat_i(spr_dat_cpu),
 
 
        // DC and BIU
        // DC and BIU
        .dcbiu_dat_o(dcbiu_dat_dc),
        .dcsb_dat_o(dcsb_dat_dc),
        .dcbiu_adr_o(dcbiu_adr_dc),
        .dcsb_adr_o(dcsb_adr_dc),
        .dcbiu_cyc_o(dcbiu_cyc_dc),
        .dcsb_cyc_o(dcsb_cyc_dc),
        .dcbiu_stb_o(dcbiu_stb_dc),
        .dcsb_stb_o(dcsb_stb_dc),
        .dcbiu_we_o(dcbiu_we_dc),
        .dcsb_we_o(dcsb_we_dc),
        .dcbiu_sel_o(dcbiu_sel_dc),
        .dcsb_sel_o(dcsb_sel_dc),
        .dcbiu_cab_o(dcbiu_cab_dc),
        .dcsb_cab_o(dcsb_cab_dc),
        .dcbiu_dat_i(dcbiu_dat_biu),
        .dcsb_dat_i(dcsb_dat_sb),
        .dcbiu_ack_i(dcbiu_ack_biu),
        .dcsb_ack_i(dcsb_ack_sb),
        .dcbiu_err_i(dcbiu_err_biu)
        .dcsb_err_i(dcsb_err_sb)
 
);
 
 
 
//
 
// Instantiation of Store Buffer
 
//
 
or1200_sb or1200_sb(
 
        // RISC clock, reset
 
        .clk(clk_i),
 
        .rst(rst_i),
 
 
 
        // Internal RISC bus (DC<->SB)
 
        .dcsb_dat_i(dcsb_dat_dc),
 
        .dcsb_adr_i(dcsb_adr_dc),
 
        .dcsb_cyc_i(dcsb_cyc_dc),
 
        .dcsb_stb_i(dcsb_stb_dc),
 
        .dcsb_we_i(dcsb_we_dc),
 
        .dcsb_sel_i(dcsb_sel_dc),
 
        .dcsb_cab_i(dcsb_cab_dc),
 
        .dcsb_dat_o(dcsb_dat_sb),
 
        .dcsb_ack_o(dcsb_ack_sb),
 
        .dcsb_err_o(dcsb_err_sb),
 
 
 
        // SB and BIU
 
        .sbbiu_dat_o(sbbiu_dat_sb),
 
        .sbbiu_adr_o(sbbiu_adr_sb),
 
        .sbbiu_cyc_o(sbbiu_cyc_sb),
 
        .sbbiu_stb_o(sbbiu_stb_sb),
 
        .sbbiu_we_o(sbbiu_we_sb),
 
        .sbbiu_sel_o(sbbiu_sel_sb),
 
        .sbbiu_cab_o(sbbiu_cab_sb),
 
        .sbbiu_dat_i(sbbiu_dat_biu),
 
        .sbbiu_ack_i(sbbiu_ack_biu),
 
        .sbbiu_err_i(sbbiu_err_biu)
);
);
 
 
//
//
// Instantiation of Debug Unit
// Instantiation of Debug Unit
//
//

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.