OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_26/] [or1200/] [rtl/] [verilog/] [or1200_defines.v] - Diff between revs 977 and 984

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 977 Rev 984
Line 42... Line 42...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.19  2002/08/18 19:53:08  lampret
 
// Added store buffer.
 
//
// Revision 1.18  2002/08/15 06:04:11  lampret
// Revision 1.18  2002/08/15 06:04:11  lampret
// Fixed Xilinx trace buffer address. REported by Taylor Su.
// Fixed Xilinx trace buffer address. REported by Taylor Su.
//
//
// Revision 1.17  2002/08/12 05:31:44  lampret
// Revision 1.17  2002/08/12 05:31:44  lampret
// Added OR1200_WB_RETRY. Moved WB registered outsputs / samples inputs into lower section.
// Added OR1200_WB_RETRY. Moved WB registered outsputs / samples inputs into lower section.
Line 331... Line 334...
// ensure strict memory model. Right now this is necessary because
// ensure strict memory model. Right now this is necessary because
// we don't make destinction between cached and cache inhibited
// we don't make destinction between cached and cache inhibited
// address space, so we simply empty store buffer until loads
// address space, so we simply empty store buffer until loads
// can begin.
// can begin.
//
//
`define OR1200_SB_IMPLEMENTED
// [SB hasn't been tested yet, so don't enable it just yet!]
 
//
 
//`define OR1200_SB_IMPLEMENTED
 
 
//
//
// Enable additional synthesis directives if using
// Enable additional synthesis directives if using
// _Synopsys_ synthesis tool
// _Synopsys_ synthesis tool
//
//

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.