OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [stable_0_2_0_rc2/] [or1ksim/] [peripheral/] [atahost.c] - Diff between revs 970 and 997

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 970 Rev 997
Line 286... Line 286...
      ata = &(atas[i]);
      ata = &(atas[i]);
 
 
      if ( ata->baseaddr == 0 )
      if ( ata->baseaddr == 0 )
         continue;
         continue;
 
 
       printf( "\nOCIDEC-%1d %u at: 0x%08X\n", DEV_ID, i, ata->baseaddr );
       PRINTF( "\nOCIDEC-%1d %u at: 0x%08X\n", DEV_ID, i, ata->baseaddr );
       printf( "ATA CTRL     : 0x%08lX\n", ata->regs.ctrl  );
       PRINTF( "ATA CTRL     : 0x%08lX\n", ata->regs.ctrl  );
       printf( "ATA STAT     : 0x%08lx\n", ata->regs.stat  );
       PRINTF( "ATA STAT     : 0x%08lx\n", ata->regs.stat  );
       printf( "ATA PCTR     : 0x%08lx\n", ata->regs.pctr  );
       PRINTF( "ATA PCTR     : 0x%08lx\n", ata->regs.pctr  );
 
 
#if (DEV_ID > 1)
#if (DEV_ID > 1)
       printf( "ATA FCTR0    : 0x%08lx\n", ata->regs.pftr0 );
       PRINTF( "ATA FCTR0    : 0x%08lx\n", ata->regs.pftr0 );
       printf( "ATA FCTR1    : 0x%08lx\n", ata->regs.pftr1 );
       PRINTF( "ATA FCTR1    : 0x%08lx\n", ata->regs.pftr1 );
#endif
#endif
 
 
#if (DEV_ID > 2)
#if (DEV_ID > 2)
       printf( "ATA DTR0     : 0x%08lx\n", ata->regs.dtr0  );
       PRINTF( "ATA DTR0     : 0x%08lx\n", ata->regs.dtr0  );
       printf( "ATA DTR1     : 0x%08lx\n", ata->regs.dtr1  );
       PRINTF( "ATA DTR1     : 0x%08lx\n", ata->regs.dtr1  );
       printf( "ATA TXD      : 0x%08lx\n", ata->regs.txb   );
       PRINTF( "ATA TXD      : 0x%08lx\n", ata->regs.txb   );
       printf( "ATA RXD      : 0x%08lx\n", ata->regs.rxb   );
       PRINTF( "ATA RXD      : 0x%08lx\n", ata->regs.rxb   );
#endif
#endif
  }
  }
}
}
/* ========================================================================= */
/* ========================================================================= */
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.