OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [stable_0_2_0_rc2/] [or1ksim/] [peripheral/] [ps2kbd.c] - Diff between revs 1350 and 1358

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 1350 Rev 1358
Line 282... Line 282...
        PRINTF("kbd_ccmdbyte: %x\n", kbd_ccmdbyte);
        PRINTF("kbd_ccmdbyte: %x\n", kbd_ccmdbyte);
        PRINTF("kbd_kresp: %lx\n", kbd_kresp);
        PRINTF("kbd_kresp: %lx\n", kbd_kresp);
        PRINTF("kbd_buf_count: %lx\n", kbd_buf_count);
        PRINTF("kbd_buf_count: %lx\n", kbd_buf_count);
}
}
 
 
 No newline at end of file
 No newline at end of file
 
/*----------------------------------------------------[ KBD Configuration ]---*/
 
void kbd_enabled(union param_val val, void *dat)
 
{
 
  config.kbd.enabled = val.int_val;
 
}
 
 
 
void kbd_baseaddr(union param_val val, void *dat)
 
{
 
  config.kbd.baseaddr = val.addr_val;
 
}
 
 
 
void kbd_irq(union param_val val, void *dat)
 
{
 
  config.kbd.irq = val.int_val;
 
}
 
 
 
void kbd_rxfile(union param_val val, void *dat)
 
{
 
  strcpy(config.kbd.rxfile, val.str_val);
 
}
 
 
 
void reg_kbd_sec(void)
 
{
 
  struct config_section *sec = reg_config_sec("kbd", NULL, NULL);
 
 
 
  reg_config_param(sec, "enabled", paramt_int, kbd_enabled);
 
  reg_config_param(sec, "baseaddr", paramt_int, kbd_baseaddr);
 
  reg_config_param(sec, "irq", paramt_int, kbd_irq);
 
  reg_config_param(sec, "rxfile", paramt_str, kbd_rxfile);
 
}
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.