OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [stable_0_2_0_rc3/] [or1ksim/] [debug/] [gdbcomm.c] - Diff between revs 1358 and 1550

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 1358 Rev 1550
Line 162... Line 162...
    }
    }
 
 
  return sockfd;
  return sockfd;
}
}
 
 
void BlockJTAG()
void BlockJTAG(void)
{
{
  struct pollfd fds[2];
  struct pollfd fds[2];
  int n = 0;
  int n = 0;
 
 
  fds[n].fd = server_fd;
  fds[n].fd = server_fd;
Line 258... Line 258...
          break;
          break;
        } /* End of switch statement */
        } /* End of switch statement */
    } /* End of while statement */
    } /* End of while statement */
}
}
 
 
void JTAGRequest()
void JTAGRequest(void)
{
{
  struct sockaddr_in sa;
  struct sockaddr_in sa;
  struct sockaddr* addr = (struct sockaddr*)&sa;
  struct sockaddr* addr = (struct sockaddr*)&sa;
  int n = sizeof(struct sockaddr_in);
  int n = sizeof(struct sockaddr_in);
  int fd = accept(server_fd,addr,&n);
  int fd = accept(server_fd,addr,&n);
Line 318... Line 318...
    }
    }
 
 
  gdb_fd = fd;
  gdb_fd = fd;
}
}
 
 
void GDBRequest()
void GDBRequest(void)
{
{
  JTAGProxyWriteMessage msg_write;
  JTAGProxyWriteMessage msg_write;
  JTAGProxyReadMessage msg_read;
  JTAGProxyReadMessage msg_read;
  JTAGProxyChainMessage msg_chain;
  JTAGProxyChainMessage msg_chain;
  JTAGProxyWriteResponse resp_write;
  JTAGProxyWriteResponse resp_write;
Line 658... Line 658...
    }
    }
  }
  }
  return 0;
  return 0;
}
}
 
 
int gdbcomm_init ()
int gdbcomm_init (void)
{
{
  serverPort = config.debug.server_port;
  serverPort = config.debug.server_port;
  if((server_fd = GetServerSocket("or1ksim","tcp",serverPort)))
  if((server_fd = GetServerSocket("or1ksim","tcp",serverPort)))
    PRINTF("JTAG Proxy server started on port %d\n",serverPort);
    PRINTF("JTAG Proxy server started on port %d\n",serverPort);
  else
  else

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.