OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [stable_0_2_0_rc3/] [or1ksim/] [peripheral/] [fb.c] - Diff between revs 1369 and 1390

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 1369 Rev 1390
Line 282... Line 282...
      sprintf (temp, "%s%04i.bmp", fb->filename, fb->pic);
      sprintf (temp, "%s%04i.bmp", fb->filename, fb->pic);
      if (fb->ctrl & 2) fb_dump_image24 (fb, temp);
      if (fb->ctrl & 2) fb_dump_image24 (fb, temp);
      else fb_dump_image8 (fb, temp);
      else fb_dump_image8 (fb, temp);
      fb->pic++;
      fb->pic++;
    }
    }
    SCHED_ADD(fb_job, dat, runtime.sim.cycles + fb->refresh_rate - fb->refresh_rate / REFRESH_DIVIDER);
    SCHED_ADD(fb_job, dat, fb->refresh_rate / REFRESH_DIVIDER);
    fb->in_refresh = 0;
    fb->in_refresh = 0;
    fb->refresh = 0;
    fb->refresh = 0;
  } else {
  } else {
    fb->refresh_count++;
    fb->refresh_count++;
    fb->refresh = 1;
    fb->refresh = 1;
    SCHED_ADD(fb_job, dat, runtime.sim.cycles + fb->refresh_rate / REFRESH_DIVIDER);
    SCHED_ADD(fb_job, dat, fb->refresh_rate / REFRESH_DIVIDER);
  }
  }
}
}
 
 
/* Reset all VGAs */
/* Reset all VGAs */
void fb_reset (void *dat)
void fb_reset (void *dat)
Line 305... Line 305...
  fb->ctrl = 0;
  fb->ctrl = 0;
 
 
  for (i = 0; i < 256; i++)
  for (i = 0; i < 256; i++)
    fb->pal[i] = (i << 16) | (i << 8) | (i << 0);
    fb->pal[i] = (i << 16) | (i << 8) | (i << 0);
 
 
  SCHED_ADD(fb_job, dat, runtime.sim.cycles + fb->refresh_rate);
  SCHED_ADD(fb_job, dat, fb->refresh_rate);
  fb->refresh = 0;
  fb->refresh = 0;
}
}
 
 
/*-----------------------------------------------------[ FB configuration ]---*/
/*-----------------------------------------------------[ FB configuration ]---*/
void fb_baseaddr(union param_val val, void *dat)
void fb_baseaddr(union param_val val, void *dat)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.