OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [tn_m001/] [or1ksim/] [sim-config.c] - Diff between revs 725 and 726

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 725 Rev 726
Line 560... Line 560...
{9, "device",             "%i",          change_device, (void *)(&tempL), 0},
{9, "device",             "%i",          change_device, (void *)(&tempL), 0},
{9, "irq",                "%i",          eth_irq,       (void *)(&tempUL), 15},
{9, "irq",                "%i",          eth_irq,       (void *)(&tempUL), 15},
{9, "enddevice",          "",            end_device,    NULL, 0},
{9, "enddevice",          "",            end_device,    NULL, 0},
{9, "baseaddr",           "=0x%x",       eth_baseaddr,  (void *)(&tempUL), 0},
{9, "baseaddr",           "=0x%x",       eth_baseaddr,  (void *)(&tempUL), 0},
{9, "dma",                "=%i",         eth_dma,       (void *)(&tempL), 0},
{9, "dma",                "=%i",         eth_dma,       (void *)(&tempL), 0},
{,  "rtx_type",           "=%i",         eth_rtx_type,  (void *)(&tempUL), 0},
{9, "rtx_type",           "=%i",         eth_rtx_type,  (void *)(&tempUL), 0},
{9, "rx_channel",         "=%i",         eth_rx_channel,(void *)(&tempL), 0},
{9, "rx_channel",         "=%i",         eth_rx_channel,(void *)(&tempL), 0},
{9, "tx_channel",         "=%i",         eth_tx_channel,(void *)(&tempL), 0},
{9, "tx_channel",         "=%i",         eth_tx_channel,(void *)(&tempL), 0},
{9, "rxfile",             "=\"%s\"",     eth_rxfile,    (void *)(&tempS[0]), 0},
{9, "rxfile",             "=\"%s\"",     eth_rxfile,    (void *)(&tempS[0]), 0},
{9, "txfile",             "=\"%s\"",     eth_txfile,    (void *)(&tempS[0]), 0},
{9, "txfile",             "=\"%s\"",     eth_txfile,    (void *)(&tempS[0]), 0},
{9, "sockif",             "=\"%s\"",     eth_sockif,    (void *)(&tempS[0]), 0},
{9, "sockif",             "=\"%s\"",     eth_sockif,    (void *)(&tempS[0]), 0},

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.