OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [tn_m001/] [or1ksim/] [toplevel.c] - Diff between revs 304 and 305

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 304 Rev 305
Line 50... Line 50...
#include "coff.h"
#include "coff.h"
 
 
#include "gdbcomm.h"
#include "gdbcomm.h"
 
 
/* CVS revision number. */
/* CVS revision number. */
const char rcsrev[] = "$Revision: 1.38 $";
const char rcsrev[] = "$Revision: 1.39 $";
 
 
/* Continuos run versus single step tracing switch. */
/* Continuos run versus single step tracing switch. */
int cont_run;
int cont_run;
 
 
/* History of execution */
/* History of execution */
Line 236... Line 236...
 
 
        /* Disable gdb debugging, if debug module is not available.  */
        /* Disable gdb debugging, if debug module is not available.  */
        if (!config.debug.enabled)
        if (!config.debug.enabled)
          config.debug.gdb_enabled = 0;
          config.debug.gdb_enabled = 0;
 
 
 
        /* Start VAPI before device initialization.  */
 
        if (config.vapi.enabled) {
 
          vapi_init ();
 
          if (config.sim.verbose)
 
            printf ("VAPI started, waiting for clients.\n");
 
        }
 
 
        uart_reset();
        uart_reset();
        dma_reset();
        dma_reset();
        eth_reset();
        eth_reset();
        tick_reset();
        tick_reset();
        pm_reset();
        pm_reset();
        pic_reset();
        pic_reset();
        mc_reset();
        mc_reset();
        reset();
        reset();
 
 
 
        /* Wait till all test are connected.  */
 
        if (config.vapi.enabled) {
 
          int numu = vapi_num_unconnected ();
 
          if (numu) {
 
            printf ("\n");
 
            while (numu = vapi_num_unconnected ()) {
 
            printf ("\rWaiting for %i VAPI tests to connect.     ", numu);
 
            usleep (100);
 
            }
 
          }
 
          printf ("All devices connected                         \n");
 
        }
}
}
 
 
/* Display info about various modules */
/* Display info about various modules */
void sim_info () {
void sim_info () {
  itlb_status(-1);
  itlb_status(-1);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.