OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [trunk/] [gdb-5.0/] [gdb/] [remote-or1k.c] - Diff between revs 125 and 135

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 125 Rev 135
Line 902... Line 902...
  int status;
  int status;
 
 
  if (memaddr >= MEM_SPACE)
  if (memaddr >= MEM_SPACE)
    error("Invalid address");
    error("Invalid address");
 
 
 
  /* (CZ 21/06/01 -- because upper layers which know nothing about
 
     Or1k or JTAG call this function directly, it is always necessary
 
     to set the chain to point to the Debug Unit. Otherwise, it may
 
     be pointint to the Development Interface chain, in which case
 
     we're going to get bupkiss... */
 
 
 
  or1k_set_chain (SC_RISC_DEBUG);
 
 
  if (write)
  if (write)
    {
    {
      /* Fill start and end extra bytes of buffer with existing data.  */
      /* Fill start and end extra bytes of buffer with existing data.  */
      if (addr != memaddr || len < 4)
      if (addr != memaddr || len < 4)
        {
        {
Line 926... Line 934...
 
 
      /* Write the entire buffer.  */
      /* Write the entire buffer.  */
      for (i = 0; i < count; i++, addr += 4)
      for (i = 0; i < count; i++, addr += 4)
        {
        {
          status = or1k_store_word (addr,
          status = or1k_store_word (addr,
                               extract_unsigned_integer (&buffer[i * 4], 4));
                               (unsigned long)extract_unsigned_integer (&buffer[i * 4], 4));
          /* Report each kilobyte (we download 32-bit words at a time) */
          /* Report each kilobyte (we download 32-bit words at a time) */
          if (i % 256 == 255)
          if (i % 256 == 255)
            {
            {
              printf_unfiltered ("*");
              printf_unfiltered ("*");
              gdb_flush (gdb_stdout);
              gdb_flush (gdb_stdout);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.