OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [trunk/] [mp3/] [rtl/] [verilog/] [mem_if/] [sram_top.v] - Diff between revs 562 and 609

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 562 Rev 609
Line 44... Line 44...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.2  2002/01/14 06:18:22  lampret
 
// Fixed mem2reg bug in FAST implementation. Updated debug unit to work with new genpc/if.
 
//
// Revision 1.1.1.1  2001/11/04 19:00:09  lampret
// Revision 1.1.1.1  2001/11/04 19:00:09  lampret
// First import.
// First import.
//
//
//
//
 
 
Line 261... Line 264...
    ack_we <= #1 1'b0;
    ack_we <= #1 1'b0;
end
end
 
 
 
 
assign wb_ack_o = (wb_cyc_i & wb_stb_i & ~wb_we_i) | ack_we;
assign wb_ack_o = (wb_cyc_i & wb_stb_i & ~wb_we_i) | ack_we;
assign wb_err_o = 1'b0;
assign wb_err_o = wb_cyc_i & wb_stb_i & (|wb_adr_i[27:21]);     // If Access to > 2MB (4-bit leading prefix ignored)
 
 
 
 
 
 
 
 
 
 
 
 
 
 
// synopsys translate_off
// synopsys translate_off
integer fsram;
integer fsram;
initial fsram = $fopen("sram.log");
initial fsram = $fopen("sram.log");

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.