OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [trunk/] [newlib/] [newlib/] [libm/] [math/] [wf_exp.c] - Diff between revs 39 and 56

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 39 Rev 56
Line 51... Line 51...
 
 
                SET_HIGH_WORD(inf,0x7ff00000);  /* set inf to infinite */
                SET_HIGH_WORD(inf,0x7ff00000);  /* set inf to infinite */
#endif
#endif
                exc.type = OVERFLOW;
                exc.type = OVERFLOW;
                exc.name = "expf";
                exc.name = "expf";
 
                exc.err = 0;
 
                exc.arg1 = exc.arg2 = (double)x;
                if (_LIB_VERSION == _SVID_)
                if (_LIB_VERSION == _SVID_)
                  exc.retval = HUGE;
                  exc.retval = HUGE;
                else
                else
                  exc.retval = HUGE_VAL;
                  exc.retval = HUGE_VAL;
                if (_LIB_VERSION == _POSIX_)
                if (_LIB_VERSION == _POSIX_)
Line 67... Line 69...
                return exc.retval;
                return exc.retval;
            } else if(x<u_threshold) {
            } else if(x<u_threshold) {
                /* expf(finite) underflow */
                /* expf(finite) underflow */
                exc.type = UNDERFLOW;
                exc.type = UNDERFLOW;
                exc.name = "expf";
                exc.name = "expf";
 
                exc.err = 0;
 
                exc.arg1 = exc.arg2 = (double)x;
                exc.retval = 0.0;
                exc.retval = 0.0;
                if (_LIB_VERSION == _POSIX_)
                if (_LIB_VERSION == _POSIX_)
                  errno = ERANGE;
                  errno = ERANGE;
                else if (!matherr(&exc)) {
                else if (!matherr(&exc)) {
                        errno = ERANGE;
                        errno = ERANGE;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.