OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [trunk/] [or1ksim/] [peripheral/] [mc.c] - Diff between revs 1557 and 1649

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 1557 Rev 1649
Line 262... Line 262...
  new->next = mc_areas;
  new->next = mc_areas;
  mc_areas = new;
  mc_areas = new;
}
}
 
 
/*-----------------------------------------------------[ MC configuration ]---*/
/*-----------------------------------------------------[ MC configuration ]---*/
void mc_enabled(union param_val val, void *dat)
static void mc_enabled(union param_val val, void *dat)
{
{
  struct mc *mc = dat;
  struct mc *mc = dat;
  mc->enabled = val.int_val;
  mc->enabled = val.int_val;
}
}
 
 
void mc_baseaddr(union param_val val, void *dat)
static void mc_baseaddr(union param_val val, void *dat)
{
{
  struct mc *mc = dat;
  struct mc *mc = dat;
  mc->baseaddr = val.addr_val;
  mc->baseaddr = val.addr_val;
}
}
 
 
void mc_POC(union param_val val, void *dat)
static void mc_POC(union param_val val, void *dat)
{
{
  struct mc *mc = dat;
  struct mc *mc = dat;
  mc->poc = val.int_val;
  mc->poc = val.int_val;
}
}
 
 
void mc_index(union param_val val, void *dat)
static void mc_index(union param_val val, void *dat)
{
{
  struct mc *mc = dat;
  struct mc *mc = dat;
  mc->index = val.int_val;
  mc->index = val.int_val;
}
}
 
 
void *mc_sec_start(void)
static void *mc_sec_start(void)
{
{
  struct mc *new = malloc(sizeof(struct mc));
  struct mc *new = malloc(sizeof(struct mc));
 
 
  if(!new) {
  if(!new) {
    fprintf(stderr, "Peripheral MC: Run out of memory\n");
    fprintf(stderr, "Peripheral MC: Run out of memory\n");
Line 302... Line 302...
  new->mc_areas = NULL;
  new->mc_areas = NULL;
 
 
  return new;
  return new;
}
}
 
 
void mc_sec_end(void *dat)
static void mc_sec_end(void *dat)
{
{
  struct mc *mc = dat;
  struct mc *mc = dat;
  struct mem_ops ops;
  struct mem_ops ops;
 
 
  if(!mc->enabled) {
  if(!mc->enabled) {

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.