OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [trunk/] [orpmon/] [cmds/] [eth.c] - Diff between revs 810 and 828

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 810 Rev 828
Line 335... Line 335...
  else return -1;
  else return -1;
  return 0;
  return 0;
}
}
 
 
#endif
#endif
void module_eth_init ()
void module_eth_init (void)
{
{
#if 0
#if 0
  register_command ("eth_init", "",  "init ethernet", eth_init_cmd);
  register_command ("eth_init", "",  "init ethernet", eth_init_cmd);
  register_command ("show_txbd", "[<start BD>] [<max>]", "show Tx buffer desc", show_txbd_cmd);
  register_command ("show_txbd", "[<start BD>] [<max>]", "show Tx buffer desc", show_txbd_cmd);
  register_command ("show_rxbd", "[<start BD>] [<max>]", "show Rx buffer desc", show_rxbd_cmd);
  register_command ("show_rxbd", "[<start BD>] [<max>]", "show Rx buffer desc", show_rxbd_cmd);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.