OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [trunk/] [orpmon/] [include/] [board.h] - Diff between revs 816 and 817

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 816 Rev 817
Line 41... Line 41...
#ifdef XESS
#ifdef XESS
#define ETH_BASE        0x92000000
#define ETH_BASE        0x92000000
#else
#else
#define ETH_BASE        0xD0000000
#define ETH_BASE        0xD0000000
#endif
#endif
#define MC_BASE_ADD     0x60000000
#define MC_BASE_ADDR     0x60000000
 
 
#define ETH0_INT        _int_main       /* was:    0x00080000 */  /* Not correct */
#define ETH0_INT        _int_main       /* was:    0x00080000 */  /* Not correct */
 
 
/*#define ETH_DATA_BASE   0x00020000   Address for ETH_DATA */
/*#define ETH_DATA_BASE   0x00020000   Address for ETH_DATA */
#ifdef XESS
#ifdef XESS
 #define ETH_DATA_BASE   0x00100000 /*  Address for ETH_DATA */
 #define ETH_DATA_BASE   0x00100000 /*  Address for ETH_DATA */
#else
#else
 #define ETH_DATA_BASE   0xa8000000 /*  Address for ETH_DATA */
 #define ETH_DATA_BASE   0xa8000000 /*  Address for ETH_DATA */
#endif
#endif
 
 
 
#define BOARD_DEF_IP    0x0a010185
 
 
#define ETH_MACADDR0    0x00
#define ETH_MACADDR0    0x00
#define ETH_MACADDR1    0x09
#define ETH_MACADDR1    0x09
#define ETH_MACADDR2    0x12
#define ETH_MACADDR2    0x12
#define ETH_MACADDR3    0x34
#define ETH_MACADDR3    0x34
#define ETH_MACADDR4    0x56
#define ETH_MACADDR4    0x56
#define ETH_MACADDR5    0x00
#define ETH_MACADDR5    0x00
 
 
#define CRT_ENABLED     1
#define CRT_ENABLED     1
#define CRT_BASE_ADD    0xc0000000
#define CRT_BASE_ADDR   0xc0000000
#define FB_BASE_ADD     0xa8000000
#define FB_BASE_ADDR    0xa8000000
 
 
/* Whether online help is available -- saves space */
/* Whether online help is available -- saves space */
#define HELP_ENABLED    1
#define HELP_ENABLED    1
 
 
#endif
#endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.