OpenCores
URL https://opencores.org/ocsvn/or1k_old/or1k_old/trunk

Subversion Repositories or1k_old

[/] [or1k_old/] [trunk/] [newlib/] [libgloss/] [m68k/] [idp.ld] - Diff between revs 39 and 56

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 39 Rev 56
Line 79... Line 79...
    *(.text)
    *(.text)
    . = ALIGN(0x4);
    . = ALIGN(0x4);
     __CTOR_LIST__ = .;
     __CTOR_LIST__ = .;
    ___CTOR_LIST__ = .;
    ___CTOR_LIST__ = .;
    LONG((__CTOR_END__ - __CTOR_LIST__) / 4 - 2)
    LONG((__CTOR_END__ - __CTOR_LIST__) / 4 - 2)
    *(.ctors)
    KEEP (*(EXCLUDE_FILE (*crtend.o) .ctors))
 
    KEEP (*(SORT(.ctors.*)))
 
    KEEP (*crtend.o(.ctors))
    LONG(0)
    LONG(0)
    __CTOR_END__ = .;
    __CTOR_END__ = .;
    __DTOR_LIST__ = .;
    __DTOR_LIST__ = .;
    ___DTOR_LIST__ = .;
    ___DTOR_LIST__ = .;
    LONG((__DTOR_END__ - __DTOR_LIST__) / 4 - 2)
    LONG((__DTOR_END__ - __DTOR_LIST__) / 4 - 2)
    *(.dtors)
    KEEP (*(EXCLUDE_FILE (*crtend.o) .dtors))
 
    KEEP (*(SORT(.dtors.*)))
 
    KEEP (*crtend.o(.dtors))
     LONG(0)
     LONG(0)
    __DTOR_END__ = .;
    __DTOR_END__ = .;
    *(.rodata)
    *(.rodata)
 
 
 
    . = ALIGN(0x4);
    *(.gcc_except_table)
    *(.gcc_except_table)
 
 
 
    . = ALIGN(0x4);
 
    *(.eh_frame)
 
 
 
    . = ALIGN(0x4);
    __INIT_SECTION__ = . ;
    __INIT_SECTION__ = . ;
    LONG (0x4e560000)   /* linkw %fp,#0 */
    LONG (0x4e560000)   /* linkw %fp,#0 */
    *(.init)
    *(.init)
    SHORT (0x4e5e)      /* unlk %fp */
    SHORT (0x4e5e)      /* unlk %fp */
    SHORT (0x4e75)      /* rts */
    SHORT (0x4e75)      /* rts */
 
 
 
    . = ALIGN(0x4);
    __FINI_SECTION__ = . ;
    __FINI_SECTION__ = . ;
    LONG (0x4e560000)   /* linkw %fp,#0 */
    LONG (0x4e560000)   /* linkw %fp,#0 */
    *(.fini)
    *(.fini)
    SHORT (0x4e5e)      /* unlk %fp */
    SHORT (0x4e5e)      /* unlk %fp */
    SHORT (0x4e75)      /* rts */
    SHORT (0x4e75)      /* rts */

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.