OpenCores
URL https://opencores.org/ocsvn/or1k_soc_on_altera_embedded_dev_kit/or1k_soc_on_altera_embedded_dev_kit/trunk

Subversion Repositories or1k_soc_on_altera_embedded_dev_kit

[/] [or1k_soc_on_altera_embedded_dev_kit/] [trunk/] [linux-2.6/] [linux-2.6.24/] [arch/] [or32/] [mm/] [init.c] - Diff between revs 7 and 9

Show entire file | Details | Blame | View Log

Rev 7 Rev 9
Line 127... Line 127...
        }
        }
}
}
 
 
static void __init zone_sizes_init(void)
static void __init zone_sizes_init(void)
{
{
        unsigned long zones_size[MAX_NR_ZONES] = {0, 0, 0};
        unsigned long zones_size[MAX_NR_ZONES];
        unsigned int max_dma, low;
        unsigned long max_dma, low;
 
 
 
        memset(zones_size, 0, sizeof(zones_size));
 
 
 
        // MAX_DMA_ADDRESS = PAGE_OFFSET+0x1000000
        max_dma = virt_to_phys((char *)MAX_DMA_ADDRESS) >> PAGE_SHIFT;
        max_dma = virt_to_phys((char *)MAX_DMA_ADDRESS) >> PAGE_SHIFT;
        low = max_low_pfn;
        low = max_low_pfn;
 
 
 
        printk("max_low_pfn=%ld, max_dma=%ld\n",max_low_pfn, max_dma);
 
 
        if (low < max_dma)
        if (low < max_dma)
                zones_size[ZONE_DMA] = low;
                zones_size[ZONE_DMA] = low;
        else {
        else {
                zones_size[ZONE_DMA] = max_dma;
                zones_size[ZONE_DMA] = max_dma;
                zones_size[ZONE_NORMAL] = low - max_dma;
                zones_size[ZONE_NORMAL] = low - max_dma;
Line 298... Line 303...
         *   or make sure that it doesn't kill of the kernel when no oeth
         *   or make sure that it doesn't kill of the kernel when no oeth
         *   present
         *   present
         */
         */
 
 
        /* map the UART address space */
        /* map the UART address space */
        identical_mapping(0x80000000, 0x10000000, _PAGE_CI |
        identical_mapping(0x20000000, 0x2000, _PAGE_CI |
                          _PAGE_URE | _PAGE_UWE);
 
        identical_mapping(0x92000000, 0x2000, _PAGE_CI |
 
                          _PAGE_URE | _PAGE_UWE);
 
        identical_mapping(0xb8070000, 0x2000, _PAGE_CI |
 
                          _PAGE_URE | _PAGE_UWE);
 
        identical_mapping(0x97000000, 0x2000, _PAGE_CI |
 
                          _PAGE_URE | _PAGE_UWE);
 
        identical_mapping(0x99000000, 0x1000000, _PAGE_CI |
 
                          _PAGE_URE | _PAGE_UWE);
                          _PAGE_URE | _PAGE_UWE);
        identical_mapping(0x93000000, 0x2000, _PAGE_CI |
        identical_mapping(0x30000000, 0x2000, _PAGE_CI);
                          _PAGE_URE | _PAGE_UWE);
/*
        identical_mapping(0xa6000000, 0x100000, _PAGE_CI |
        identical_mapping(0x80000000, 0x10000000, _PAGE_CI |
                          _PAGE_URE | _PAGE_UWE);
                          _PAGE_URE | _PAGE_UWE);
        identical_mapping(0x1e50000, 0x150000, _PAGE_CI |
        identical_mapping(0x92000000, 0x2000, _PAGE_CI |
                          _PAGE_URE | _PAGE_UWE);
                          _PAGE_URE | _PAGE_UWE);
 
        identical_mapping(0xb8070000, 0x2000, _PAGE_CI |
 
                          _PAGE_URE | _PAGE_UWE);
 
        identical_mapping(0x97000000, 0x2000, _PAGE_CI |
 
                          _PAGE_URE | _PAGE_UWE);
 
        identical_mapping(0x99000000, 0x1000000, _PAGE_CI |
 
                          _PAGE_URE | _PAGE_UWE);
 
        identical_mapping(0x93000000, 0x2000, _PAGE_CI |
 
                          _PAGE_URE | _PAGE_UWE);
 
        identical_mapping(0xa6000000, 0x100000, _PAGE_CI |
 
                          _PAGE_URE | _PAGE_UWE);
 
        identical_mapping(0x1e50000, 0x150000, _PAGE_CI |
 
                          _PAGE_URE | _PAGE_UWE);
 
*/
        zone_sizes_init();
        zone_sizes_init();
 
 
        /*
        /*
         * Fixed mappings, only the page table structure has to be
         * Fixed mappings, only the page table structure has to be
         * created - mappings will be set by set_fixmap():
         * created - mappings will be set by set_fixmap():

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.