OpenCores
URL https://opencores.org/ocsvn/ourisc/ourisc/trunk

Subversion Repositories ourisc

[/] [ourisc/] [trunk/] [rtl/] [common/] [mux3x1.vhd] - Diff between revs 2 and 6

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 6
Line 2... Line 2...
-- Company: 
-- Company: 
-- Engineer: 
-- Engineer: 
-- 
-- 
-- Create Date:    15:02:04 04/19/2012 
-- Create Date:    15:02:04 04/19/2012 
-- Design Name:    Multiplexer 3 x 1
-- Design Name:    Multiplexer 3 x 1
-- Module Name:    mux3x1 - Multiplex 
-- Module Name:    mux3x1 - behavioral 
-- Project Name: 
-- Project Name: 
-- Target Devices: 
-- Target Devices: 
-- Tool versions: 
-- Tool versions: 
-- Description: 
-- Description: 
--
--
Line 19... Line 19...
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
 
 
entity mux3x1 is
entity mux3x1 is
        Generic ( WIDTH : integer := 16 );
        generic ( WIDTH : integer := 16 );
    Port ( in_a : in  STD_LOGIC_VECTOR (WIDTH-1 downto 0);
    port ( sink_a   : in  std_logic_vector (WIDTH-1 downto 0);
           in_b : in  STD_LOGIC_VECTOR (WIDTH-1 downto 0);
           sink_b   : in  std_logic_vector (WIDTH-1 downto 0);
           in_c : in  STD_LOGIC_VECTOR (WIDTH-1 downto 0);
           sink_c   : in  std_logic_vector (WIDTH-1 downto 0);
           sel : in  STD_LOGIC_VECTOR (1 downto 0);
           sink_sel : in  std_logic_vector (1 downto 0);
           dataout : out  STD_LOGIC_VECTOR (WIDTH-1 downto 0));
           src_data : out  std_logic_vector (WIDTH-1 downto 0));
end mux3x1;
end mux3x1;
 
 
architecture Multiplex of mux3x1 is
architecture behavioral of mux3x1 is
begin
begin
        process(sel, in_a, in_b, in_c)
        process(sink_sel, sink_a, sink_b, sink_c)
        begin
        begin
                case sel is
                case sink_sel is
                        when "00" => dataout <= in_a;
                        when "00" => src_data <= sink_a;
                        when "01" => dataout <= in_b;
                        when "01" => src_data <= sink_b;
                        when "10" => dataout <= in_c;
                        when "10" => src_data <= sink_c;
                        when others => dataout <= (others => '0');
                        when others => src_data <= (others => '0');
                end case;
                end case;
        end process;
        end process;
 
 
end Multiplex;
end behavioral;
 
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.