OpenCores
URL https://opencores.org/ocsvn/ourisc/ourisc/trunk

Subversion Repositories ourisc

[/] [ourisc/] [trunk/] [rtl/] [common/] [mux3x1.vhd] - Diff between revs 6 and 9

Show entire file | Details | Blame | View Log

Rev 6 Rev 9
Line 1... Line 1...
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-- Company: 
-- Engineer: Joao Carlos Nunes Bittencourt
-- Engineer: 
----------------------------------------------------------------------------------
-- 
-- Create Date:    13:18:18 03/06/2012 
-- Create Date:    15:02:04 04/19/2012 
----------------------------------------------------------------------------------
-- Design Name:    Multiplexer 3 x 1
-- Design Name:    3x1 Multiplexer
-- Module Name:    mux3x1 - behavioral 
-- Module Name:    mux3x1 - behavioral 
-- Project Name: 
----------------------------------------------------------------------------------
-- Target Devices: 
-- Project Name:   16-bit uRISC Processor
-- Tool versions: 
----------------------------------------------------------------------------------
-- Description: 
 
--
 
-- Dependencies: 
 
--
 
-- Revision: 
-- Revision: 
-- Revision 0.01 - File Created
--      1.0 - File Created
-- Additional Comments: 
--      2.0 - Project refactoring
--
--
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.