OpenCores
URL https://opencores.org/ocsvn/ourisc/ourisc/trunk

Subversion Repositories ourisc

[/] [ourisc/] [trunk/] [rtl/] [pc_adder.vhd] - Diff between revs 7 and 8

Show entire file | Details | Blame | View Log

Rev 7 Rev 8
Line 1... Line 1...
 
----------------------------------------------------------------------------------
 
-- Engineer: Joao Carlos Nunes Bittencourt
 
----------------------------------------------------------------------------------
 
-- Create Date:    13:18:18 03/06/2012 
 
----------------------------------------------------------------------------------
 
-- Design Name:    Program Counter Adder
 
-- Module Name:    pc_adder - behavioral 
 
----------------------------------------------------------------------------------
 
-- Project Name:   16-bit uRISC Processor
 
----------------------------------------------------------------------------------
 
-- Revision: 
 
--      1.0 - File Created
 
--      2.0 - Project refactoring
 
--
 
----------------------------------------------------------------------------------
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_unsigned.all;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.