OpenCores
URL https://opencores.org/ocsvn/pairing/pairing/trunk

Subversion Repositories pairing

[/] [pairing/] [trunk/] [testbench/] [test_f33m_mult.v] - Diff between revs 6 and 7

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 6 Rev 7
Line 1... Line 1...
`timescale 1ns / 1ns
`timescale 1ns / 1ns
`include "../verilog/inc.v"
`include "../rtl/inc.v"
 
 
module test_f33m_mult;
module test_f33m_mult;
 
 
        // Inputs
        // Inputs
        reg clk;
        reg clk;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.