OpenCores
URL https://opencores.org/ocsvn/pairing/pairing/trunk

Subversion Repositories pairing

[/] [pairing/] [trunk/] [testbench/] [test_f3m_inv.v] - Diff between revs 4 and 7

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 4 Rev 7
Line 7... Line 7...
        reg clk;
        reg clk;
        reg reset;
        reg reset;
 
 
        // Outputs
        // Outputs
        wire [193:0] C;
        wire [193:0] C;
 
    wire done;
 
 
        // Instantiate the Unit Under Test (UUT)
        // Instantiate the Unit Under Test (UUT)
        f3m_inv uut (
        f3m_inv uut (
                .A(A),
                .A(A),
                .clk(clk),
                .clk(clk),
                .reset(reset),
                .reset(reset),
                .C(C)
                .C(C),
 
        .done(done)
        );
        );
 
 
    always #`CLOCK_PERIOD clk = ~clk;
    always #`CLOCK_PERIOD clk = ~clk;
 
 
        initial begin
        initial begin
Line 31... Line 33...
 
 
                // Add stimulus here
                // Add stimulus here
        A = 32'b10_01_01_10_01_00; // A = "x";
        A = 32'b10_01_01_10_01_00; // A = "x";
        @(negedge clk); reset = 1;
        @(negedge clk); reset = 1;
        @(negedge clk); reset = 0;
        @(negedge clk); reset = 0;
        $display("Go!");
 
        #(200*2*`CLOCK_PERIOD);
        #(200*2*`CLOCK_PERIOD);
        if (C != 192'h65450169824811252a919a8a02964184221a1562655252a9) begin $display("Error!"); $finish; end
        if (C != 192'h65450169824811252a919a8a02964184221a1562655252a9) $display("Error!");
        $display("Good!"); $finish;
        $display("Good!"); $finish;
        end
        end
 
 
endmodule
endmodule
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.