URL
https://opencores.org/ocsvn/parallel_search_for_maximum_weight/parallel_search_for_maximum_weight/trunk
Show entire file |
Details |
Blame |
View Log
Rev 2 |
Rev 8 |
Line 1... |
Line 1... |
|
library ieee;
|
|
use ieee.std_logic_1164.all;
|
|
use work.basic_size.all;
|
|
entity carry_cell_NOR is
|
|
port(
|
|
a: IN std_logic;
|
|
b: IN std_logic;
|
|
choose_prev : in std_logic;
|
|
found_prev : in std_logic;
|
|
choose_cur_bar : out std_logic;
|
|
found_cur_bar : out std_logic
|
|
);
|
|
end carry_cell_NOR;
|
|
architecture behav of carry_cell_NOR is
|
|
|
No newline at end of file
|
No newline at end of file
|
|
SIGNAL found: std_logic;
|
|
SIGNAL choose: std_logic;
|
|
SIGNAL gci: std_logic;
|
|
SIGNAL gfi: std_logic;
|
|
begin
|
|
gci <= (NOT b) NAND a;
|
|
gfi <= ( a XOR b);
|
|
choose_cur_bar<= choose_prev NOR (found_prev NOR gci);
|
|
found_cur_bar <= ( (found_prev) NOR gfi);
|
|
end behav;
|
No newline at end of file
|
No newline at end of file
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.