OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] [pci/] [tags/] [rel_3/] [rtl/] [verilog/] [bus_commands.v] - Diff between revs 21 and 53

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 21 Rev 53
Line 40... Line 40...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.3  2002/02/01 15:25:12  mihad
 
// Repaired a few bugs, updated specification, added test bench files and design document
 
//
// Revision 1.2  2001/10/05 08:14:28  mihad
// Revision 1.2  2001/10/05 08:14:28  mihad
// Updated all files with inclusion of timescale file for simulation purposes.
// Updated all files with inclusion of timescale file for simulation purposes.
//
//
// Revision 1.1.1.1  2001/10/02 15:33:47  mihad
// Revision 1.1.1.1  2001/10/02 15:33:47  mihad
// New project directory structure
// New project directory structure
Line 68... Line 71...
`define BC_MEM_READ_LN      4'hE  //                            yes                                     yes
`define BC_MEM_READ_LN      4'hE  //                            yes                                     yes
`define BC_MEM_WRITE_INVAL  4'hF  //                            no                                      yes
`define BC_MEM_WRITE_INVAL  4'hF  //                            no                                      yes
 
 
// common bits for configuration cycle commands
// common bits for configuration cycle commands
`define BC_CONF_RW 3'b101
`define BC_CONF_RW 3'b101
 No newline at end of file
 No newline at end of file
 
// common bits for io cycle commands
 
`define BC_IO_RW 3'b001
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.