OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] [pci/] [tags/] [rel_3/] [rtl/] [verilog/] [delayed_sync.v] - Diff between revs 33 and 57

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 33 Rev 57
Line 40... Line 40...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.4  2002/03/05 11:53:47  mihad
 
// Added some testcases, removed un-needed fifo signals
 
//
// Revision 1.3  2002/02/01 15:25:12  mihad
// Revision 1.3  2002/02/01 15:25:12  mihad
// Repaired a few bugs, updated specification, added test bench files and design document
// Repaired a few bugs, updated specification, added test bench files and design document
//
//
// Revision 1.2  2001/10/05 08:14:28  mihad
// Revision 1.2  2001/10/05 08:14:28  mihad
// Updated all files with inclusion of timescale file for simulation purposes.
// Updated all files with inclusion of timescale file for simulation purposes.
Line 424... Line 427...
 
 
// clocks counter - it counts how many clock cycles completion is present without beeing repeated
// clocks counter - it counts how many clock cycles completion is present without beeing repeated
// if it counts to 2^^16 cycles the completion must be ditched
// if it counts to 2^^16 cycles the completion must be ditched
 
 
// wire for clearing this counter
// wire for clearing this counter
wire clear_count = in_progress_in || ~req_comp_pending_out ;
wire clear_count = in_progress_in || ~req_comp_pending_out || comp_cycle_count[16] ;
always@(posedge req_clk_in or posedge reset_in)
always@(posedge req_clk_in or posedge reset_in)
begin
begin
    if (reset_in)
    if (reset_in)
        comp_cycle_count <= #`FF_DELAY 17'h0_0000 ;
        comp_cycle_count <= #`FF_DELAY 17'h0_0000 ;
    else
    else

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.