OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] [pci/] [tags/] [rel_7/] [bench/] [verilog/] [wb_master32.v] - Diff between revs 104 and 106

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 104 Rev 106
Line 40... Line 40...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.3  2003/07/29 08:19:47  mihad
 
// Found and simulated the problem in the synchronization logic.
 
// Repaired the synchronization logic in the FIFOs.
 
//
// Revision 1.2  2003/06/12 02:30:39  mihad
// Revision 1.2  2003/06/12 02:30:39  mihad
// Update!
// Update!
//
//
// Revision 1.1  2002/02/01 13:39:43  mihad
// Revision 1.1  2002/02/01 13:39:43  mihad
// Initial testbench import. Still under development
// Initial testbench import. Still under development
Line 304... Line 308...
        in_use = 1 ;
        in_use = 1 ;
 
 
        num_of_cyc = `WAIT_FOR_RESPONSE ;
        num_of_cyc = `WAIT_FOR_RESPONSE ;
 
 
        ADR_O      <= #(Tp - `Tsetup) input_data`WRITE_ADDRESS ;
        ADR_O      <= #(Tp - `Tsetup) input_data`WRITE_ADDRESS ;
        DAT_O      <= #(Tp - `Tsetup) input_data`WRITE_DATA ;
 
 
        begin:dat_o_assign_blk
 
            reg [`WB_SEL_WIDTH - 1:0] cur_sel ;
 
            reg [`WB_DATA_WIDTH - 1:0] cur_dat ;
 
            reg [`WB_DATA_WIDTH - 1:0] rnd_dat ;
 
            integer cur_bit ;
 
 
 
            cur_dat = input_data`WRITE_DATA ;
 
            cur_sel = input_data`WRITE_SEL  ;
 
            rnd_dat = $random ;
 
 
 
            for(cur_bit = 0 ; cur_bit < `WB_DATA_WIDTH ; cur_bit = cur_bit + 1)
 
            begin
 
                if (cur_sel[cur_bit/8] === 1'b1)
 
                    DAT_O[cur_bit] <= #(Tp - `Tsetup) cur_dat[cur_bit] ;
 
                else
 
                    DAT_O[cur_bit] <= #(Tp - `Tsetup) rnd_dat[cur_bit] ;
 
            end
 
        end
 
 
        SEL_O      <= #(Tp - `Tsetup) input_data`WRITE_SEL ;
        SEL_O      <= #(Tp - `Tsetup) input_data`WRITE_SEL ;
        TAG_O      <= #(Tp - `Tsetup) input_data`WRITE_TAG_STIM ;
        TAG_O      <= #(Tp - `Tsetup) input_data`WRITE_TAG_STIM ;
 
 
        STB_O      <= #(Tp - `Tsetup) 1'b1 ;
        STB_O      <= #(Tp - `Tsetup) 1'b1 ;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.