OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] [pci/] [tags/] [rel_7/] [bench/] [verilog/] [wb_slave_behavioral.v] - Diff between revs 92 and 104

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 92 Rev 104
Line 40... Line 40...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.4  2003/06/12 02:30:39  mihad
 
// Update!
 
//
// Revision 1.3  2002/10/11 10:08:58  mihad
// Revision 1.3  2002/10/11 10:08:58  mihad
// Added additional testcase and changed rst name in BIST to trst
// Added additional testcase and changed rst name in BIST to trst
//
//
// Revision 1.2  2002/03/06 09:10:56  mihad
// Revision 1.2  2002/03/06 09:10:56  mihad
// Added missing include statements
// Added missing include statements
Line 117... Line 120...
    wait_cyc   <= 4'b0; // no wait cycles
    wait_cyc   <= 4'b0; // no wait cycles
    max_retry  <= 8'h0; // no retries
    max_retry  <= 8'h0; // no retries
  end
  end
end //reset
end //reset
 
 
 
reg            retry_expired;
task cycle_response;
task cycle_response;
  input [2:0]  ack_err_rty_resp; // acknowledge, error or retry response input flags
  input [2:0]  ack_err_rty_resp; // acknowledge, error or retry response input flags
  input [3:0]  wait_cycles; // if wait cycles before each data termination cycle (ack, err or rty)
  input [3:0]  wait_cycles; // if wait cycles before each data termination cycle (ack, err or rty)
  input [7:0]  retry_cycles; // noumber of retry cycles before acknowledge cycle
  input [7:0]  retry_cycles; // noumber of retry cycles before acknowledge cycle
begin
begin
  // assign values
  // assign values
  a_e_r_resp <= #1 ack_err_rty_resp;
  a_e_r_resp <= #1 ack_err_rty_resp;
  wait_cyc   <= #1 wait_cycles;
  wait_cyc   <= #1 wait_cycles;
  max_retry  <= #1 retry_cycles;
  max_retry  <= #1 retry_cycles;
 
  retry_expired <= #1 0 ;
end
end
endtask // cycle_response
endtask // cycle_response
 
 
/*------------------------------------------------------------------------------------------------------
/*------------------------------------------------------------------------------------------------------
Tasks for writing and reading to and from memory !!!
Tasks for writing and reading to and from memory !!!
Line 206... Line 211...
reg            calc_err;
reg            calc_err;
reg            calc_rty;
reg            calc_rty;
 
 
reg     [7:0]  retry_cnt;
reg     [7:0]  retry_cnt;
reg     [7:0]  retry_num;
reg     [7:0]  retry_num;
reg            retry_expired;
 
 
 
// Retry counter
// Retry counter
always@(posedge RST_I or posedge CLK_I)
always@(posedge RST_I or posedge CLK_I)
begin
begin
  if (RST_I)
  if (RST_I)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.