OpenCores
URL https://opencores.org/ocsvn/pdp8/pdp8/trunk

Subversion Repositories pdp8

[/] [pdp8/] [trunk/] [de2_115/] [pdp8_top.vhd] - Diff between revs 3 and 4

Show entire file | Details | Blame | View Log

Rev 3 Rev 4
Line 13... Line 13...
--!     Key 0 - Front panel rotator switch (press to "rotate")
--!     Key 0 - Front panel rotator switch (press to "rotate")
--!     Hex 3 to 0 - Data register
--!     Hex 3 to 0 - Data register
--!     Red LEDs 14 to 0 - Address register
--!     Red LEDs 14 to 0 - Address register
--!
--!
--! \file
--! \file
--!      minimal_pdp8.vhd
--!      pdp8_top.vhd
--!
--!
--! \author
--! \author
--!    Joe Manojlovich - joe.manojlovich (at) gmail (dot) com
--!    Joe Manojlovich - joe.manojlovich (at) gmail (dot) com
--!
--!
--------------------------------------------------------------------
--------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.