OpenCores
URL https://opencores.org/ocsvn/plasma/plasma/trunk

Subversion Repositories plasma

[/] [plasma/] [tags/] [V2_1/] [vhdl/] [control.vhd] - Diff between revs 46 and 61

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 46 Rev 61
Line 84... Line 84...
   a_source := a_from_reg_source;
   a_source := a_from_reg_source;
   b_source := b_from_reg_target;
   b_source := b_from_reg_target;
   c_source := c_from_null;
   c_source := c_from_null;
   pc_source := from_inc4;
   pc_source := from_inc4;
   branch_function := branch_eq;
   branch_function := branch_eq;
   mem_source := mem_none;
   mem_source := mem_fetch;
   op := opcode(31 downto 26);
   op := opcode(31 downto 26);
   rs := '0' & opcode(25 downto 21);
   rs := '0' & opcode(25 downto 21);
   rt := '0' & opcode(20 downto 16);
   rt := '0' & opcode(20 downto 16);
   rtx := opcode(20 downto 16);
   rtx := opcode(20 downto 16);
   rd := '0' & opcode(15 downto 11);
   rd := '0' & opcode(15 downto 11);
Line 432... Line 432...
      branch_function := branch_yes;
      branch_function := branch_yes;
      a_source := a_from_reg_source;
      a_source := a_from_reg_source;
      b_source := b_from_reg_target;
      b_source := b_from_reg_target;
      c_source := c_from_pc;
      c_source := c_from_pc;
      pc_source := from_lbranch;
      pc_source := from_lbranch;
      mem_source := mem_none;
      mem_source := mem_fetch;
   end if;
   end if;
 
 
   rs_index <= rs;
   rs_index <= rs;
   rt_index <= rt;
   rt_index <= rt;
   rd_index <= rd;
   rd_index <= rd;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.