OpenCores
URL https://opencores.org/ocsvn/plasma/plasma/trunk

Subversion Repositories plasma

[/] [plasma/] [tags/] [V3_0/] [vhdl/] [control.vhd] - Diff between revs 128 and 139

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 128 Rev 139
Line 343... Line 343...
         rt := "000000";
         rt := "000000";
         rd := '0' & opcode(20 downto 16);
         rd := '0' & opcode(20 downto 16);
      else                      --move to CP0
      else                      --move to CP0
         rs := "000000";
         rs := "000000";
         rd(5) := '1';
         rd(5) := '1';
 
         pc_source := FROM_BRANCH;   --delay possible interrupt
 
         branch_function := BRANCH_NO;
      end if;
      end if;
 
 
   when "010001" =>   --COP1
   when "010001" =>   --COP1
   when "010010" =>   --COP2
   when "010010" =>   --COP2
   when "010011" =>   --COP3
   when "010011" =>   --COP3
Line 482... Line 484...
      shift_function := SHIFT_NOTHING;
      shift_function := SHIFT_NOTHING;
      mult_function := MULT_NOTHING;
      mult_function := MULT_NOTHING;
      branch_function := BRANCH_YES;
      branch_function := BRANCH_YES;
      a_source := A_FROM_REG_SOURCE;
      a_source := A_FROM_REG_SOURCE;
      b_source := B_FROM_REG_TARGET;
      b_source := B_FROM_REG_TARGET;
      c_source := C_FROM_pc;
      c_source := C_FROM_PC;
      pc_source := FROM_LBRANCH;
      pc_source := FROM_LBRANCH;
      mem_source := MEM_FETCH;
      mem_source := MEM_FETCH;
   end if;
   end if;
 
 
   rs_index <= rs;
   rs_index <= rs;
Line 505... Line 507...
 
 
end process;
end process;
 
 
end; --logic
end; --logic
 
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.