OpenCores
URL https://opencores.org/ocsvn/plasma/plasma/trunk

Subversion Repositories plasma

[/] [plasma/] [tags/] [V3_0/] [vhdl/] [mlite_pack.vhd] - Diff between revs 116 and 125

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 116 Rev 125
Line 83... Line 83...
   constant c_from_pc         : c_source_type := "011";
   constant c_from_pc         : c_source_type := "011";
   constant c_from_pc_plus4   : c_source_type := "100";
   constant c_from_pc_plus4   : c_source_type := "100";
   constant c_from_imm_shift16: c_source_type := "101";
   constant c_from_imm_shift16: c_source_type := "101";
   constant c_from_reg_sourcen: c_source_type := "110";
   constant c_from_reg_sourcen: c_source_type := "110";
 
 
--   type pc_source_type is (from_inc4, from_inc8, from_reg_source, 
--   type pc_source_type is (from_inc4, from_opcode25_0, from_branch, from_lbranch);
--      from_opcode25_0, from_branch, from_lbranch);
 
   subtype pc_source_type is std_logic_vector(1 downto 0);
   subtype pc_source_type is std_logic_vector(1 downto 0);
   constant from_inc4       : pc_source_type := "00";
   constant from_inc4       : pc_source_type := "00";
   constant from_opcode25_0 : pc_source_type := "01";
   constant from_opcode25_0 : pc_source_type := "01";
   constant from_branch     : pc_source_type := "10";
   constant from_branch     : pc_source_type := "10";
   constant from_lbranch    : pc_source_type := "11";
   constant from_lbranch    : pc_source_type := "11";

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.