OpenCores
URL https://opencores.org/ocsvn/plasma/plasma/trunk

Subversion Repositories plasma

[/] [plasma/] [tags/] [V3_0/] [vhdl/] [reg_bank.vhd] - Diff between revs 47 and 48

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 47 Rev 48
Line 41... Line 41...
 
 
   --controls access to dual-port memories
   --controls access to dual-port memories
   signal addr_a1, addr_a2, addr_b : std_logic_vector(4 downto 0);
   signal addr_a1, addr_a2, addr_b : std_logic_vector(4 downto 0);
   signal data_out1, data_out2     : std_logic_vector(31 downto 0);
   signal data_out1, data_out2     : std_logic_vector(31 downto 0);
   signal write_enable             : std_logic;
   signal write_enable             : std_logic;
   signal sig_false                : std_logic;
--   signal sig_false                : std_logic := '0';
   signal sig_true                 : std_logic;
--   signal sig_true                 : std_logic := '1';
   signal zero_sig                 : std_logic_vector(15 downto 0);
--   signal zero_sig                 : std_logic_vector(15 downto 0) := ZERP(15 downto 0);
begin
begin
 
 
reg_proc: process(clk, rs_index, rt_index, rd_index, reg_dest_new,
reg_proc: process(clk, rs_index, rt_index, rd_index, reg_dest_new,
      reg_status, data_out1, data_out2, reset_in)
      reg_status, data_out1, data_out2, reset_in)
begin
begin
   sig_false <= '0';
 
   sig_true <= '1';
 
   zero_sig <= ZERO(15 downto 0);
 
 
 
   --setup for first dual-port memory
   --setup for first dual-port memory
   if rs_index = "101110" then  --reg_epc CP0 14
   if rs_index = "101110" then  --reg_epc CP0 14
      addr_a1 <= "00000";
      addr_a1 <= "00000";
   else
   else
      addr_a1 <= rs_index(4 downto 0);
      addr_a1 <= rs_index(4 downto 0);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.