OpenCores
URL https://opencores.org/ocsvn/plasma/plasma/trunk

Subversion Repositories plasma

[/] [plasma/] [trunk/] [kernel/] [rtos.c] - Diff between revs 352 and 393

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 352 Rev 393
Line 1229... Line 1229...
 
 
/******************************************/
/******************************************/
void OS_Init(uint32 *heapStorage, uint32 bytes)
void OS_Init(uint32 *heapStorage, uint32 bytes)
{
{
   int i;
   int i;
 
   if((int)OS_Init > 0x10000000)        //Running from DDR?
   OS_AsmInterruptInit();               //Patch interrupt vector
   OS_AsmInterruptInit();               //Patch interrupt vector
   OS_InterruptMaskClear(0xffffffff);   //Disable interrupts
   OS_InterruptMaskClear(0xffffffff);   //Disable interrupts
   HeapArray[0] = OS_HeapCreate("Default", heapStorage, bytes);
   HeapArray[0] = OS_HeapCreate("Default", heapStorage, bytes);
   HeapArray[1] = HeapArray[0];
   HeapArray[1] = HeapArray[0];
   SemaphoreSleep = OS_SemaphoreCreate("Sleep", 0);
   SemaphoreSleep = OS_SemaphoreCreate("Sleep", 0);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.