OpenCores
URL https://opencores.org/ocsvn/plasma/plasma/trunk

Subversion Repositories plasma

[/] [plasma/] [trunk/] [vhdl/] [control.vhd] - Diff between revs 352 and 370

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 352 Rev 370
Line 296... Line 296...
      c_source := C_FROM_ALU;
      c_source := C_FROM_ALU;
      rd := rt;
      rd := rt;
      alu_function := ALU_LESS_THAN_SIGNED;
      alu_function := ALU_LESS_THAN_SIGNED;
 
 
   when "001011" =>   --SLTIU  u[rt]=u[rs]<(unsigned long)(short)imm;
   when "001011" =>   --SLTIU  u[rt]=u[rs]<(unsigned long)(short)imm;
      b_source := B_FROM_IMM;
      b_source := B_FROM_SIGNED_IMM;
      c_source := C_FROM_ALU;
      c_source := C_FROM_ALU;
      rd := rt;
      rd := rt;
      alu_function := ALU_LESS_THAN;
      alu_function := ALU_LESS_THAN;
 
 
   when "001100" =>   --ANDI   r[rt]=r[rs]&imm;
   when "001100" =>   --ANDI   r[rt]=r[rs]&imm;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.