OpenCores
URL https://opencores.org/ocsvn/plasma/plasma/trunk

Subversion Repositories plasma

[/] [plasma/] [trunk/] [vhdl/] [reg_bank.vhd] - Diff between revs 352 and 365

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 352 Rev 365
Line 12... Line 12...
---------------------------------------------------------------------
---------------------------------------------------------------------
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_unsigned.all;
use work.mlite_pack.all;
use work.mlite_pack.all;
 
--library UNISIM;               --May need to uncomment for ModelSim
 
--use UNISIM.vcomponents.all;   --May need to uncomment for ModelSim
 
 
entity reg_bank is
entity reg_bank is
   generic(memory_type : string := "XILINX_16X");
   generic(memory_type : string := "XILINX_16X");
   port(clk            : in  std_logic;
   port(clk            : in  std_logic;
        reset_in       : in  std_logic;
        reset_in       : in  std_logic;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.