OpenCores
URL https://opencores.org/ocsvn/plasma/plasma/trunk

Subversion Repositories plasma

[/] [plasma/] [trunk/] [vhdl/] [tbench.vhd] - Diff between revs 352 and 403

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 352 Rev 403
Line 57... Line 57...
   gpioA_in(18 downto 15) <= gpioA_in(18 downto 15) + 1 after 400 ns; --E_RX_RXD
   gpioA_in(18 downto 15) <= gpioA_in(18 downto 15) + 1 after 400 ns; --E_RX_RXD
   gpioA_in(14) <= not gpioA_in(14) after 200 ns; --E_TX_CLK
   gpioA_in(14) <= not gpioA_in(14) after 200 ns; --E_TX_CLK
 
 
   u1_plasma: plasma
   u1_plasma: plasma
      generic map (memory_type => memory_type,
      generic map (memory_type => memory_type,
                   ethernet    => '1',
                   ethernet    => '0',
                   use_cache   => '1',
                   use_cache   => '0',
                   log_file    => log_file)
                   log_file    => log_file)
      PORT MAP (
      PORT MAP (
         clk               => clk,
         clk               => clk,
         reset             => reset,
         reset             => reset,
         uart_read         => uart_write,
         uart_read         => uart_write,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.