OpenCores
URL https://opencores.org/ocsvn/pltbutils/pltbutils/trunk

Subversion Repositories pltbutils

[/] [pltbutils/] [branches/] [dev0007/] [examples/] [vhdl/] [tb_example2/] [tc1.vhd] - Diff between revs 62 and 65

Show entire file | Details | Blame | View Log

Rev 62 Rev 65
Line 9... Line 9...
---- Description:                                                  ----
---- Description:                                                  ----
---- PlTbUtils is a collection of functions, procedures and       ----
---- PlTbUtils is a collection of functions, procedures and       ----
---- components for easily creating stimuli and checking response ----
---- components for easily creating stimuli and checking response ----
---- in automatic self-checking testbenches.                      ----
---- in automatic self-checking testbenches.                      ----
----                                                              ----
----                                                              ----
---- This file is a template, which can be used as a base when    ----
---- This file is an example which demonstrates how PlTbUtils     ----
---- testbenches which use PlTbUtils.                             ----
---- can be used.                                                 ----                                                              ----
---- Copy this file to your preferred location and rename the     ----
 
---- copied file and its contents, by replacing the word          ---- 
 
---- "template" with a name for your design.                      ----
 
---- Also remove informative comments enclosed in < ... > .       ----
 
----                                                              ----
 
----                                                              ----
----                                                              ----
---- To Do:                                                       ----
---- To Do:                                                       ----
---- -                                                            ----
---- -                                                            ----
----                                                              ----
----                                                              ----
---- Author(s):                                                   ----
---- Author(s):                                                   ----
Line 57... Line 52...
use work.txt_util.all;
use work.txt_util.all;
use work.pltbutils_func_pkg.all;
use work.pltbutils_func_pkg.all;
 
 
-- NOTE: The purpose of the following code is to demonstrate some of the 
-- NOTE: The purpose of the following code is to demonstrate some of the 
-- features of PlTbUtils, not to do a thorough verification.
-- features of PlTbUtils, not to do a thorough verification.
architecture tc1 of tc_example is
architecture tc1 of tc_example2 is
begin
begin
  p_tc1 : process
  p_tc1 : process
    variable pltbv  : pltbv_t := C_PLTBV_INIT;
    variable pltbv  : pltbv_t := C_PLTBV_INIT;
  begin
  begin
    startsim("tc1", pltbv, pltbs);
    startsim("tc1", pltbv, pltbs);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.