OpenCores
URL https://opencores.org/ocsvn/pltbutils/pltbutils/trunk

Subversion Repositories pltbutils

[/] [pltbutils/] [branches/] [dev0007/] [templates/] [vhdl/] [template2/] [tc_template2.vhd] - Diff between revs 74 and 76

Show entire file | Details | Blame | View Log

Rev 74 Rev 76
Line 1... Line 1...
----------------------------------------------------------------------
----------------------------------------------------------------------
----                                                              ----
----                                                              ----
---- PlTbUtils Example Testcase Entity for Template Testbench      ----
---- PlTbUtils Testcase Entity for Template Testbench             ----
----                                                              ----
----                                                              ----
---- This file is part of the PlTbUtils project                   ----
---- This file is part of the PlTbUtils project                   ----
---- http://opencores.org/project,pltbutils                       ----
---- http://opencores.org/project,pltbutils                       ----
----                                                              ----
----                                                              ----
---- Description:                                                 ----
---- Description:                                                 ----
Line 12... Line 12...
----                                                              ----
----                                                              ----
---- This file is a template, which can be used as a base when    ----
---- This file is a template, which can be used as a base when    ----
---- testbenches which use PlTbUtils.                             ----
---- testbenches which use PlTbUtils.                             ----
---- Copy this file to your preferred location and rename the     ----
---- Copy this file to your preferred location and rename the     ----
---- copied file and its contents, by replacing the word          ---- 
---- copied file and its contents, by replacing the word          ---- 
---- "template" with a name for your design.                      ----
---- "templateXX" with a name for your design.                    ----
---- Also remove informative comments enclosed in < ... > .       ----
---- Also remove informative comments enclosed in < ... > .       ----
----                                                              ----
----                                                              ----
----                                                              ----
----                                                              ----
---- To Do:                                                       ----
---- To Do:                                                       ----
---- -                                                            ----
---- -                                                            ----
Line 52... Line 52...
----------------------------------------------------------------------
----------------------------------------------------------------------
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use work.pltbutils_func_pkg.all;
use work.pltbutils_func_pkg.all;
 
 
entity tc_template is
entity tc_template2 is
  generic (
  generic (
    -- < Template info: add generics here if needed, or remove the generic block >    
    -- < Template info: add generics here if needed, or remove the generic block >    
  );
  );
  port (
  port (
    pltbs           : out pltbs_t;
    pltbs           : out pltbs_t;
Line 66... Line 66...
    -- <                Inputs on the DUT should be outputs here,   >
    -- <                Inputs on the DUT should be outputs here,   >
    -- <                and vice versa.                             >
    -- <                and vice versa.                             >
    -- <                Exception: clocks are inputs both on DUT    >
    -- <                Exception: clocks are inputs both on DUT    >
    -- <                and here.                                   >
    -- <                and here.                                   >
  );
  );
end entity tc_template;
end entity tc_template2;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.