OpenCores
URL https://opencores.org/ocsvn/pltbutils/pltbutils/trunk

Subversion Repositories pltbutils

[/] [pltbutils/] [branches/] [dev_beta0002/] [examples/] [vhdl/] [tb_example2/] [tc1.vhd] - Diff between revs 2 and 15

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 15
Line 81... Line 81...
    waitclks(2, clk, pltbutils_sc);
    waitclks(2, clk, pltbutils_sc);
    check("Sum",       sum,         3, pltbutils_sc);
    check("Sum",       sum,         3, pltbutils_sc);
    check("Carry out", carry_out, '0', pltbutils_sc);
    check("Carry out", carry_out, '0', pltbutils_sc);
 
 
    testname(3, "Simple carry in test", pltbutils_sc);
    testname(3, "Simple carry in test", pltbutils_sc);
    print(pltbutils_sc, "Bug here somewhere");
    print(G_DISABLE_BUGS=0, pltbutils_sc, "Bug here somewhere");
    carry_in <= '1';
    carry_in <= '1';
    x <= std_logic_vector(to_unsigned(1, x'length));
    x <= std_logic_vector(to_unsigned(1, x'length));
    y <= std_logic_vector(to_unsigned(2, x'length));
    y <= std_logic_vector(to_unsigned(2, x'length));
    waitclks(2, clk, pltbutils_sc);
    waitclks(2, clk, pltbutils_sc);
    check("Sum",       sum,         4, pltbutils_sc);
    check("Sum",       sum,         4, pltbutils_sc);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.