OpenCores
URL https://opencores.org/ocsvn/pltbutils/pltbutils/trunk

Subversion Repositories pltbutils

[/] [pltbutils/] [branches/] [dev_beta0002/] [examples/] [vhdl/] [tb_example2/] [tc_example2.vhd] - Diff between revs 2 and 15

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 15
Line 49... Line 49...
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
 
 
entity tc_example is
entity tc_example is
  generic (
  generic (
    G_WIDTH         : integer := 8
    G_WIDTH         : integer := 8;
 
    G_DISABLE_BUGS  : integer range 0 to 1 := 0
  );
  );
  port (
  port (
    clk             : in  std_logic;
    clk             : in  std_logic;
    rst             : out std_logic;
    rst             : out std_logic;
    carry_in        : out std_logic;
    carry_in        : out std_logic;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.