OpenCores
URL https://opencores.org/ocsvn/pltbutils/pltbutils/trunk

Subversion Repositories pltbutils

[/] [pltbutils/] [branches/] [dev_beta0002/] [templates/] [vhdl/] [template2/] [tb_template2.vhd] - Diff between revs 2 and 42

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 42
Line 24... Line 24...
---- Author(s):                                                   ----
---- Author(s):                                                   ----
---- - Per Larsson, pela@opencores.org                            ----
---- - Per Larsson, pela@opencores.org                            ----
----                                                              ----
----                                                              ----
----------------------------------------------------------------------
----------------------------------------------------------------------
----                                                              ----
----                                                              ----
---- Copyright (C) 2013 Authors and OPENCORES.ORG                 ----
---- Copyright (C) 2013-2014 Authors and OPENCORES.ORG            ----
----                                                              ----
----                                                              ----
---- This source file may be used and distributed without         ----
---- This source file may be used and distributed without         ----
---- restriction provided that this copyright statement is not    ----
---- restriction provided that this copyright statement is not    ----
---- removed from the file and that any derivative work contains  ----
---- removed from the file and that any derivative work contains  ----
---- the original copyright notice and the associated disclaimer. ----
---- the original copyright notice and the associated disclaimer. ----
Line 65... Line 65...
end entity tb_template;
end entity tb_template;
 
 
architecture bhv of tb_template is
architecture bhv of tb_template is
 
 
  -- Simulation status- and control signals
  -- Simulation status- and control signals
  signal test_num       : integer;
  -- for accessing .stop_sim and for viewing in waveform window
  -- VHDL-1993:
  signal pltbs          : pltbs_t := C_PLTBS_INIT;
  --signal test_name      : string(pltbutils_test_name'range);
 
  --signal info           : string(pltbutils_info'range);
 
  -- VHDL-2002:
 
  signal test_name      : string(pltbutils_sc.test_name'range);
 
  signal info           : string(pltbutils_sc.info'range);
 
 
 
  signal checks         : integer;
 
  signal errors         : integer;
 
  signal stop_sim       : std_logic;
 
 
 
  -- DUT stimuli and response signals
  -- DUT stimuli and response signals
  signal clk            : std_logic;
  signal clk            : std_logic;
  signal rst            : std_logic;
  signal rst            : std_logic;
  -- < Template info: add more DUT stimuli and response signals here. >
  -- < Template info: add more DUT stimuli and response signals here. >
 
 
begin
begin
 
 
  -- Simulation status and control for viewing in waveform window
 
  -- VHDL-1993:
 
  --test_num  <= pltbutils_test_num;
 
  --test_name <= pltbutils_test_name;
 
  --checks    <= pltbutils_chk_cnt;
 
  --errors    <= pltbutils_err_cnt;
 
  -- VHDL-2002:
 
  test_num  <= pltbutils_sc.test_num;
 
  test_name <= pltbutils_sc.test_name;
 
  info      <= pltbutils_sc.info;
 
  checks    <= pltbutils_sc.chk_cnt;
 
  errors    <= pltbutils_sc.err_cnt;
 
  stop_sim  <= pltbutils_sc.stop_sim;
 
 
 
 
 
  dut0 : entity work.template
  dut0 : entity work.template
    generic map (
    generic map (
      -- < Template info: add DUT generics here, if any. >      
      -- < Template info: add DUT generics here, if any. >      
    )
    )
    port map (
    port map (
Line 115... Line 91...
    generic map(
    generic map(
      G_PERIOD          => G_CLK_PERIOD
      G_PERIOD          => G_CLK_PERIOD
    )
    )
    port map(
    port map(
      clk_o             => clk,
      clk_o             => clk,
      stop_sim_i        => stop_sim
      stop_sim_i        => pltbs.stop_sim
    );
    );
 
 
  tc0 : entity work.tc_example
  tc0 : entity work.tc_example
    generic map (
    generic map (
      -- < Template info: add generics for testcase component here, if any. >
      -- < Template info: add generics for testcase component here, if any. >

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.