OpenCores
URL https://opencores.org/ocsvn/pltbutils/pltbutils/trunk

Subversion Repositories pltbutils

[/] [pltbutils/] [branches/] [dev_beta0002/] [templates/] [vhdl/] [template2/] [tb_template2.vhd] - Diff between revs 74 and 76

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 74 Rev 76
Line 1... Line 1...
----------------------------------------------------------------------
----------------------------------------------------------------------
----                                                              ----
----                                                              ----
---- PlTbUtils Testbench Template                                  ----
---- PlTbUtils Testbench Template 2                               ----
----                                                              ----
----                                                              ----
---- This file is part of the PlTbUtils project                   ----
---- This file is part of the PlTbUtils project                   ----
---- http://opencores.org/project,pltbutils                       ----
---- http://opencores.org/project,pltbutils                       ----
----                                                              ----
----                                                              ----
---- Description:                                                 ----
---- Description:                                                 ----
Line 56... Line 56...
use work.txt_util.all;
use work.txt_util.all;
use work.pltbutils_func_pkg.all;
use work.pltbutils_func_pkg.all;
use work.pltbutils_comp_pkg.all;
use work.pltbutils_comp_pkg.all;
-- < Template info: add more libraries here, if needed >
-- < Template info: add more libraries here, if needed >
 
 
entity tb_template is
entity tb_template2 is
  generic (
  generic (
    -- < Template info: add generics here if needed, or remove the generic block >    
    -- < Template info: add generics here if needed, or remove the generic block >    
  );
  );
end entity tb_template;
end entity tb_template2;
 
 
architecture bhv of tb_template is
architecture bhv of tb_template2 is
 
 
  -- Simulation status- and control signals
  -- Simulation status- and control signals
  -- for accessing .stop_sim and for viewing in waveform window
  -- for accessing .stop_sim and for viewing in waveform window
  signal pltbs          : pltbs_t := C_PLTBS_INIT;
  signal pltbs          : pltbs_t := C_PLTBS_INIT;
 
 
Line 94... Line 94...
    port map(
    port map(
      clk_o             => clk,
      clk_o             => clk,
      stop_sim_i        => pltbs.stop_sim
      stop_sim_i        => pltbs.stop_sim
    );
    );
 
 
  tc0 : entity work.tc_example
  tc0 : entity work.tc_template2
    generic map (
    generic map (
      -- < Template info: add generics for testcase component here, if any. >
      -- < Template info: add generics for testcase component here, if any. >
    )
    )
    port map(
    port map(
      clk               => clk, -- Template example
      clk               => clk, -- Template example

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.