OpenCores
URL https://opencores.org/ocsvn/pltbutils/pltbutils/trunk

Subversion Repositories pltbutils

[/] [pltbutils/] [branches/] [dev_beta0002/] [templates/] [vhdl/] [template2/] [tc1.vhd] - Diff between revs 73 and 76

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 73 Rev 76
Line 1... Line 1...
----------------------------------------------------------------------
----------------------------------------------------------------------
----                                                              ----
----                                                              ----
---- PlTbUtils Example Testcase Architecture for                  ----
---- PlTbUtils Testcase Architecture for                          ----
---- Template Testbench                                           ----
---- Template Testbench                                           ----
----                                                              ----
----                                                              ----
---- This file is part of the PlTbUtils project                   ----
---- This file is part of the PlTbUtils project                   ----
---- http://opencores.org/project,pltbutils                       ----
---- http://opencores.org/project,pltbutils                       ----
----                                                              ----
----                                                              ----
---- Description:                                                 ----
---- Description:                                                 ----
---- PlTbUtils is a collection of functions, procedures and       ----
---- PlTbUtils is a collection of functions, procedures and       ----
---- components for easily creating stimuli and checking response ----
---- components for easily creating stimuli and checking response ----
---- in automatic self-checking testbenches.                      ----
---- in automatic self-checking testbenches.                      ----
----                                                              ----
----                                                              ----
---- This file is an example which demonstrates how PlTbUtils     ----
---- This file is a template, which can be used as a base when    ----
---- can be used.                                                 ----
---- testbenches which use PlTbUtils.                             ----
 
---- Copy this file to your preferred location and rename the     ----
 
---- copied file and its contents, by replacing the word          ---- 
 
---- "templateXX" with a name for your design.                    ----
 
---- Also remove informative comments enclosed in < ... > .       ----
----                                                              ----
----                                                              ----
----                                                              ----
----                                                              ----
---- To Do:                                                       ----
---- To Do:                                                       ----
---- -                                                            ----
---- -                                                            ----
----                                                              ----
----                                                              ----
Line 51... Line 55...
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.numeric_std.all;
--use work.txt_util.all;
--use work.txt_util.all;
use work.pltbutils_func_pkg.all;
use work.pltbutils_func_pkg.all;
 
 
architecture tc1 of tc_template is
architecture tc1 of tc_template2 is
begin
begin
  p_tc1 : process
  p_tc1 : process
    variable pltbv  : pltbv_t := C_PLTBV_INIT;
    variable pltbv  : pltbv_t := C_PLTBV_INIT;
  begin
  begin
    startsim("tc1", pltbv, pltbs);
    startsim("tc1", pltbv, pltbs);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.